大二多功能数字钟课程设计报告,内容详细有借鉴意义
2022-06-17 13:17:30 31KB 多功能数字钟设计
1
针对于fpga的数字钟设计,vhdl源码,课程设计必备
2022-06-10 14:48:17 2.58MB fpga 数字钟
1
基于51单片机数字钟设计说明.doc
2022-06-07 13:01:00 383KB 互联网
基于单片机的数字温度计和数字钟设计说明.doc
2022-06-07 09:00:23 1MB 互联网
本资源是用利用CPLD设计可调时数字钟,实现数字钟的一些基本功能,文件包含和设计报告,原理图,实习课件,采用verilog语言编程,主要用于提交期末实习的课设报告,该课设包含目录、原理、程序、实验心得等内容,供大家参考
2022-06-03 17:01:39 8.25MB 数字钟   verilog 课程设计
1
基于VHDL的数字钟课程设计报告 目录 摘 要 3 引 言 3 1 数字钟的设计框图 3 2 功能说明 4 3 模块设计部分 4 3.1位选模块 4 3.2控制模块 5 3.4 8 3.5 记小时模块 10 3.6 闹钟,报时模块 11 3.7 动态扫描模块 12 3.8 译码 13 3.8.1 译码模块 13 3.8.2 选通译码 14 4系统仿真 15 4.1 数字钟原理图 15 4.2 数字钟仿真图 16 4.2.2 整点报时 16 4.2.3 暂停状态 17 4.2.4 调闹钟 17 4.2.5 清零状态 17 4.2.6 调时状态 18 4.2.7 闹铃状态 18 5 实验总结 18 5.1 实验过程 18 5.2 实验结果 19 5.3 致谢及实验总结 19 6参考文献 19
2022-06-02 01:12:47 209KB 数字钟 VHDL
1
程序采用分模块进行的原则,主控制模块可以控制整体的运行和关闭,分频器模块可获取需要的1Hz和1kHz的时钟信号,按键防抖模块消除了按键时抖动的影响,时钟主体正常运作(24小时显示),按键调时模块控制分钟的调整,数码管显示模块利用动态显示原理得到时,分的显示。 设计可实现:1:正常显示功能 2:按键调时功能 3:到点报时功能
2022-05-29 18:09:21 370KB fpga开发 文档资料 verilog 嵌入式
1
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑和时序电路。
2022-05-28 17:11:12 482KB VHDL语言 数字钟
1
本设计为一个多功能的数字钟,具有年、月、日、时、分、秒计数显示功能,以24小时循环计数;具有校对功能以及整点报时功能。 本设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计文件,在MaxplusII工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。 系统主芯片采用EP1K100QC208-3,由时钟模块、控制模块、计时模块、数据译码模块、显示以及报时模块组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,本系统能够完成年、月、日和时、分、秒的分别显示,由按键输入进行数字钟的校时、清零、启停功能。
2022-05-26 22:54:02 332KB 数字钟
1
数字钟的设计原理图,内容清晰,准确,按要求完成
2022-05-22 13:24:34 52KB 数字钟 设计
1