波形发生器是一种数据信号发生器,在调试硬件时,常常需要加入一些信号,以观察电路工作是否正常。用一般的信号发生器,不但笨重,而且只发一些简单的波形,不能满足需要。例如用户要调试串口通信程序时,就要在计算机上写好一段程序,再用线连接计算机和用户实验板,如果不正常,不知道是通讯线有问题还是程序有问题。用E2000/L的波形发生器功能,就可以定义串口数据。通过逻辑探勾输出,调试起来简单快捷。任意波形发生器是目前电子测量仪器中发展最为快速的产品之一。它既可输出标准函数信号,也可以产生由用户定义的非标准函数波形(任意波形)信号,并且有丰富的模拟调制(AM,FM,PM)和数字调制(FSK,PSK)功能,能为
1
参考资料-基于AD9851的任意波形发生器实现.zip
2022-01-26 16:02:22 168KB 资料
白噪声是一种功率频谱密度为常数的随机信号或随机过程。理想的白噪声具有无限带宽,因而其能量是无限大,这在现实世界是不可能存在的。
2022-01-08 11:43:11 596KB 信号发生器
1
基于LabVIEW的任意波形发生器设计,怎样使用数据采集卡.
2021-12-29 14:45:35 330KB labview 数据采集
1
基于cyclone2 fpga的任意波形发生器VHDL设计QUARTUS工程文件+文档说明: library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity DDS_top is port ( clk:in std_logic; --内部时钟 reset:in std_logic; --复位信号 key5 sclk:out std_logic; --TLC5615 sclk时钟脚 din:out std_logic; --TLC5615 din数据脚 cs:out std_logic; --TLC5615 cs片选 set_waveform_key_in:in std_logic; --波形设置按键 key1 set_f_key_in:in std_logic; --频率设置按键 key2 set_a_key_in:in std_logic; --幅值设置按键 key3 set_p_key_in:in std_logic; --相位设置按键 key4 sin_data:out std_logic_vector(9 downto 0) --输出的波形数据,用于测试 ); end DDS_top; architecture behave of DDS_top is signal set_waveform_line:std_logic_vector(1 downto 0); signal f_control_line:std_logic_vector(20 downto 0); signal a_control_line:std_logic_vector(3 downto 0); signal p_control_line:std_logic_vector(9 downto 0); signal dds_data_out_temp:std_logic_vector(9 downto 0); signal set_waveform_key:std_logic; signal set_f_key:std_logic; signal set_a_key:std_logic; signal set_p_key:std_logic; --DDs模块 component DDS is port( clk:in std_logic;--时钟输入 dds_data_out:out std_logic_vector(9 downto 0);--DDS数据输出 set_waveform:in std_logic_vector(1 downto 0);--设置输出的波形 set_f:in std_logic_vector(20 downto 0);--设置频率 set_a:in std_logic_vector(3 downto 0);--设置幅值 set_p:in std_logic_vector(9 downto 0)--设置频率 ); end component; -- DAC驱动模块 component TLC5615 is port( CLK:IN STD_LOGIC; SCLK:OUT STD_LOGIC; DIN:OUT STD_LOGIC; CS:OUT STD_LOGIC; DATA_IN:IN STD_LOGIC_VECTOR(9 DOWNTO 0) ); end component; -- 按键消抖模块 component key is port( clk:in std_logic; key:in std_logic; key_out:out std_logic ); end component; -- 按键编码模块 component key_coding i
本文为读者介绍了任意波形发生器的设计电路图,供读者参考学习。
1
基于labview的任意波形发生器(含vi下载地址)
2021-11-05 10:48:05 370KB 波形发生器 labvie
1
EDA设计基于FPGA的任意波形发生器.doc
2021-10-16 16:02:22 701KB EDA设计基于FPGA的任意波形
DDS直接数字式频率合成器(Direct Digital Synthesizer),相信所有人看到这个名字就觉得不会陌生。有些资料讲述的方式太高大上,不少人一时半会接受不了。本篇文章从双口RAM入手,由浅入深脱掉DDS高大上的外衣。 两个关键术语:a. 相位累加器:Phase = Phase + freq_ctrl,可以暂且理解为i = i + 1一样的东西。b. 频率控制字:freq_ctrl,这个东西的值直接影响输出信号的频率。 假设系统工作时钟(查表时钟)为150MHz,ROM表深度为4096,存储波形为1个周期(如正弦波每周期抽样量化为4096个点),也就是一个周期的波形由4096个采样点组成,意味着输出波形一个周期最多4096个采样点。比如Data输出10M的正弦波,输出的正弦波每周期只有15个采样点;而输出1M的正弦波,每周期将有150个采样点;我们也可以知道当输出频率小于等于36.621KHz时,输出波形每周期由4096个点构成。输出信号的每周期点越多,阶梯效过越不明显,经过低通滤波器后波形越好看。 如果freq_ctrl为1时,那么输出信号为150MHz/40
2021-10-13 15:40:01 79KB FPGA 波形 文章 软件开发
1
MATLAB 是一种软件环境和编程语言,用于生成信号、进行测量、配置和控制仪器、分析和可视化数据以及构建测试系统。 MATLAB 通过仪器控制工具箱支持安捷伦函数发生器。 这是一个 MATLAB 仪器驱动程序,用于仪器控制工具箱 v2.0 及更高版本。 它支持 Agilent 33120A 函数发生器。 它是一个独立的 MATLAB 仪器驱动程序,不需要任何额外的仪器驱动程序即可运行。 有关使用 MATLAB 和仪器控制工具箱进行仪器通信的信息,请访问: http : //www.mathworks.com/products/instrument 有关在 Agilent 仪器上使用 MATLAB 的更多信息,包括 MATLAB 示例、演示、驱动程序和视频,请访问: http : //www.mathworks.com/agilent 注意:某些浏览器可能会呈现驱动程序文件而不是将文件保
2021-10-13 12:03:55 20KB matlab
1