浮点数乘法器,verilog,可直接综合
2020-01-04 03:15:32 3KB 浮点数乘法器 verilog FPGA
1
常见的乘法器Verilog源代码及仿真结果
2019-12-21 21:36:14 265KB 乘法器 Verilog 源代码 仿真
1
用verilog语言编写的8位乘法器,完成了8位二进制的整数乘法,供大家参考
2019-12-21 21:05:16 618KB 乘法器,verilog
1
查找表乘法器就是将乘积放在存储器中,将操作数作为地址访问存储器,得到的输出结果就是乘法器的运算结果。这种乘法器的运算速度就等于所使用的存储器的速度,一般用于较小规模的乘法器。
2019-12-21 20:59:35 967B 查表法乘法器
1
包含有符号乘法器以及无符号乘法器的Verilog源码,同时带有tb文件用于仿真测试,在Vivado和Modelsim上验证通过
2019-12-21 20:47:15 2KB 乘法器 Verilog
1
fpga 8位乘法器 verilog HDL 源代码;带有tstbench文件
2019-12-21 19:27:00 4.4MB verilog HDL fpga
1
16位乘法器VerilogHDL源代码,适合于初学者
2019-12-21 19:21:14 7KB 16位 乘法器 Verilog HDL
1