采用AT89C52单片机智能控制,结合外围电子电路,设计的频率计性能稳定。在软件设计上采用了单片机的C语言设计,通过单片机内部定时/计数器同时动作,在测量频率时将测频率和测周期相结合,提高了频率计的测量准确性。
2019-12-21 20:08:47 163KB 单片机 频率计 1602
1
根据全国大学生电子设计竞赛题目《简易数字频率计》的要求设计,测量范围0-1Mhz ,测量精度满足题目中要求, 所用开发板为 xilinx spartan 3ES。具体设计过程可以参考博客 。http://blog.csdn.net/li200503028
2019-12-21 20:07:30 1.31MB 频率计 FPGA verilog
1
基于FPGA的数字频率计设计与仿真,设计了一个简单的数字频率计,对于初学者有一定的帮助
2019-12-21 20:00:37 159KB FPGA、数字频率计
1
基于 51 单片机的数字频率计 主要是Word格式的 2.1 系统硬件的构成4 2.2 系统工作原理图4 2.3AT89C51 单片机及其引脚说明 5 2.4 信号调理及放大整形模块7 2.5 时基信号产生电路7 2.6 显示模块
2019-12-21 19:46:06 1.3MB 基于 51 单片机的数字频率计
1
FPGA测频,12864液晶显示。30M方波测频,测占空比,测双方波相位差。verilog
2019-12-21 19:45:28 20.51MB 测频verilog
1
基于verilog的数字频率计代码仿真和报告
2019-12-21 19:40:14 412KB 数字频率计
1
数字频率计1~1MHz,,已经成功,proteus8.0运行,keil4.0版本。希望对你有用哟·
2019-12-21 19:39:47 79KB 数字频率计
1
实验课需要用到 且调试通过~ LIBRARY IEEE ; --有时钟使能的十进制计数器 USE IEEE.STD_LOGIC_1164.ALL ; ENTITY CNT10 IS PORT (CLK : IN STD_LOGIC ; -- 计数时钟信号 CLR : IN STD_LOGIC ; -- 清零信号 ENA : IN STD_LOGIC ; -- 计数使能信号 CQ : OUT INTEGER RANGE 0 TO 15 ; -- 4 位计数结果输出 CARRY_OUT : OUT STD_LOGIC ) ; -- 计数进位 END CNT10 ; 。。。。。
2019-12-21 19:39:31 162KB VHDl
1
基于VHDL的数字频率计设计,希望这个文档对大家有所帮助。
2019-12-21 19:36:39 321KB VHDL
1
基于Multisim8的简易数字频率计仿真
2019-12-21 19:34:26 562KB 简易数字频率计仿真
1