FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件, Quartus软件版本9.0,可以做为你的学习设计参考。 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity spi_in is port( sck_in:in std_logic; mosi:in std_logic;--收 miso:out std_logic;--发 data_out:out std_logic_vector(7 downto 0) ); end spi_in; architecture spi_behave of spi_in is signal gain_data:std_logic_vector(7 downto 0); signal num:integer range 0 to 9; begin process(sck_in) begin if(sck_in'event and sck_in='1')then if(num=9)then num<=0; else num0 and num<9)then gain_data(9-num)<=mosi; else data_out<=gain_data; end if; end process; end spi_behave;
2021-08-25 14:05:55 1.73MB FIFOfull_adder SPI接口 分頻器 VHDL设计源码
基于DSP320f28035开发的SCI驱动源码,详细注释,可以选择是否使用FIFO模式,
2021-08-19 17:27:05 404KB F28035 SCI FIFO
1
自己写的一个异步fifo,深度宽度都可自定义,包含binary到gray码,gray码到binary转换,异步时域通信,自定义almost full,almost empty等知识,以verilog写的~
2021-08-17 15:11:54 4KB verilog 异步fifo 已经过testbench验证
1
讲述异步FIFO设计的景点例子,包含verilog源码,仿真,框图等,IC数字设计的基础之一
2021-08-16 20:45:18 128KB 异步FIFO设计
1
数字IC验证初学入门者,UVM验证方法学,异步FIFO
1
英商飞特帝亚有限公司(FTDI) FT245BL USB FIFO 设备驱动程序。
2021-08-13 15:50:06 82KB FT245BL USB FIFO
1
数字设计中经常设计到跨时钟域同步的问题,其中最为广泛采用的方法就是异步fifo实现多数据同步,文档里提供了一种实现方法。
2021-08-13 10:17:11 43KB FIFO Verilog
1
本设计方案描述了为不同宽度读写数据端口的数据宽度转换,怎样基于FPGA的FIFO实现共有时钟(同步)。可以使用Xilinx的Spartan II系列FPGA实现这种FIFO。这个方法使用了片上DLL(延迟锁相环)宏、分布式存储器和简单的计数器逻辑。
2021-08-10 00:12:24 60KB 数据转换
1
用于SPI核的FIFO设计参考,编程语言为Verilog
2021-08-09 09:22:29 789KB FIFO SPI
1
同步FIFO实现;包含顶层控制模块+FIFO控制模块+双端口RAM
2021-08-09 09:02:45 4.41MB FPGA
1