这是一份很完整的电路设计,内含课程设计报告,电路设计图、元器件清单以及实验成功后的照片。个人原创,并实验成功~~~
1
基于51单片机的较为完美的数字频率计设计,测量范围:10Hz~100000Hz。程序利用proteus仿真,文件包含仿真文件以及程序源码。
2019-12-21 20:37:06 88KB 51单片机 数字频率计 proteus
1
在quartus 里生成正弦波,三角波和锯齿波,每个模块也可以单独生成。
2019-12-21 20:18:37 4.85MB quartus DDS
1
本文要设计一个8位十进制数字频率计,需要由四种器件来组成,即:测频控制信号发生器(FTCTRL)、有时钟使能的十进制计数器(CNT10)、32位锁存器(REG32B)、除法器模块(division). 因为是8位十进制数字频率计,所以计数器CNT10需用8个,7段显示LED7也需用8个. 频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。 为此,测频控制信号发生器FTCTRL应设置一个控制信号时钟CLKK,一个计数使能信号输出端CNT_EN、一个与CNT_EN输出信号反向的锁存输出信号Load、和清零输出信号RST_CNT。 如CLKK的输入频率为1HZ,则输出信号端CNT_EN输出一个脉宽恰好为1秒的周期信号,可以作为闸门信号用。由它对频率计的每一个计数器的使能端进行同步控制。当CNT_EN高电平时允许计数,低电平时停止计数,并保持所计的数。
2019-12-21 20:17:41 126KB FPGA 频率计
1
用Proteus 8.5搭建的数字频率计,0~9999Hz,包含有锁存器,计数器,触发器。电路没有使用单片机,为数字电路的一次学习成果。
2019-12-21 20:14:22 227KB 数字 频率计 仿真
1
用altera的CYClone系列的fpga,verilog写的代码,可以分离出LCD显示字符和变量(频率值)的程序,用的是AD9226,12位AD,可以精确测量,经调试可测频率高达100MHZ,误差小于0.01.
2019-12-21 20:11:49 662KB 已经过调试
1
VHDL课程的综合设计报告,是一个数字频率计的,含完整代码
2019-12-21 20:11:25 6.72MB VHDL 数字频率计 设计报告
1
1.采用测频法 2.设计一个4位十进制数字显示的数字频率计 3.其测量的范围为1~9999KHz
2019-12-21 20:11:03 69KB FPGA 数字频率计
1
本文描述了采用TTL电路来设计一个频率计
2019-12-21 20:09:43 302KB TTL 频率计
1
基于FPGA数字频率计的实现 对学习很有帮助
2019-12-21 20:08:49 10KB 基于FPGA数字频率计的实现
1