在实际工业和科技等领域中经常需要高精度且频率方便可调的多信号源。研究设计了基于 FPGA的直接数字频率合成(DDS)多信号发生器的基本组成和设计原理,给出了硬件描述语言 VHDL编程实现方法,在Quartus II软件环境下对多信号发生器进行了仿真,用ALTERA公司的Cyclone IV硬件平台实现了程序的下载。实现了正弦波、锯齿波、方波、三角波等的频率可调、相位可调、幅值可调等功能,且准确度高,性价比良好。
2022-06-21 10:31:44 291KB 自然科学 论文
1
波形发生器硬件设计与程序..ppt该文档详细且完整,值得借鉴下载使用,欢迎下载使用,有问题可以第一时间联系作者~
2022-06-21 09:04:44 735KB 文档资料
Si5351Arduino, 在Arduino环境下,Si5351时钟发生器IC的库 用于Arduino的 Si5351库这是系列时钟发生器芯片的库,来自硅实验室,用于Arduino开发环境。 它允许你用Arduino控制 Si5351,而不依赖于来自硅实验室的专用ClockBuilder软件。这个库专注于射频/业余无线
2022-06-20 21:33:59 52KB 开源
1
PWM信号发生器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Pwm is port( clk: in std_logic; --clk signal wr_n:in std_logic; --write signal addr:in std_logic; --address signal WrData:in std_logic_vector(7 downto 0); --writedata signal PwmOut:out std_logic); --Global signal end Pwm; architecture one of Pwm is signal period:std_logic_vector(7 downto 0); signal duty:std_logic_vector(7 downto 0); signal counter:std_logic_vector(7 downto 0); Begin process(clk,WrData) begin if rising_edge(clk) then if (wr_n='0') then if addr='0' then period<=WrData; duty<=duty; else period<=period; duty<=WrData; end if; else period<=period; duty<=duty; end if; end if; end process; process(clk) begin if rising_edge(clk) then if counter=0 then counter<=period; else counter<=counter-1; end if; if counter>duty then PwmOut<='0'; else PwmOut<='1'; end if; end if; end process; end one;
2022-06-19 14:28:17 20.91MB vhdl
1
产生pwm波,实现频率可调,占空比可调,并在quartus完成测试。
2022-06-18 21:35:31 1.48MB quartus 基于FPGA的PWM波发生 fpga
1
iapp源码大全:弹窗发生器.iapp
2022-06-18 21:00:32 4KB 计算机 互联网 文档
作品中采用干电池升压并提供静电分离用电源,干电池方案可以满足随意移动开展各项静电学测试项目,并且电池升压范围始终控制在人体安全电压内。采用OLED显示作品调节信息量,便于对比电荷附着量与电压等因素关系。这个作品实现原理比较简单,作品采用TPS6104x芯片进行干电池电压升压,一路电压用于驱动范德格拉夫起电机,一路电压用于系统供电与12V电机供电,采用STM8单片机进行调节电机转速,实现范式起电机的静电可调积累作用。 系统设计框图: 电压升压芯片电路: 干电池静电发生器部分代码截图:
2022-06-18 11:37:11 1.05MB 静电发生器 静电分离 电路方案
1
PRBS发生器并行实现方法 现在我们知道PRBS发生器的串行实现方式,每隔一个串行时钟发出一位,那么10个时钟后应该输出什么呢?在此先做一个约定,串化是按照高位先行。例如 1001 1100 11 ,高位先行就是 1..0..0..1 1 1 0 0 11。下边推导PRBS 7并行实现方法。 问题:正如前面讲到的速率已经上升到Gbps,在数字处理如何实现这么高的速度,而据了解现目前A/D可达到的最高速度还远远低于这个速度。据之前的实验经验即使采用ALTERA的Stratix IV FPGA 验证数字设计,当时钟上到400M以后就很难通过综合。实际应用中并不会采取这种串行输出的方式,而是采取并行实现方式。 在高速SERDES中TX端是以10/1的压缩率进行数字处理。采取并行方式实现PRBS 发生器
2022-06-16 17:32:40 280KB prbs serdes
1
发生器实现
2022-06-14 19:50:43 980KB 云理论
1
幅值及频率都精确可调,通过按键可输出规定频率,精确易用
2022-06-14 12:37:21 163KB 波形发生器
1