使用STM32F407VGT6读取ADS1262数据(外部压力传感器+内部温度传感器),读取压力传感器数据后做均值+低通软件滤波(没有经过软件滤波的码值跳动范围在4000左右,经过软件滤波的码值跳动在1500左右)。读取五次压力传感器数据后,将ADS1262通道切换到内部温度传感器,得出温度°。
1
压力传感器MULTISIM电路设计,主要包括电源模块,传感器模块,放大电路模块,滤波模块
1
详细介绍了FSR压力传感器的应用以及芯片管脚、时序图等
2020-01-03 11:34:51 964KB FSR压力传感器
1
STM32F103 串口、步进电机、压力传感器、温湿度传感器驱动程序,附上HX711、ULN2003和步进电机使用手册
2020-01-03 11:31:52 7.27MB STM32 HX711 UNL200 UART
1
STM32F103 C8T6最小系统板+惠斯通电桥(120欧)+HX711称重模块;读取压力传感器输进来的值,利用串口送到PC显示
2020-01-03 11:22:56 1.35MB STM32 C8T6最小板 HX711称重
1
max1464可编程传感器条理芯片,此程序是应用与压力传感器的。
2020-01-03 11:19:59 277KB max1464
1
hx711压力传感器模块,51单片机的代码,可用于称重计等小项目。
2020-01-03 11:18:59 18KB 51单片机
1
SP12资料下载,测量温度,压力,加速度,和电压
2019-12-21 22:21:46 740KB 汽车轮胎监控系统
1
一份很不错的SM9541编程指南,比官方数据手册通俗易懂,中间压力和温度值的换算讲解的非常到位,有详细的实现说明。
2019-12-21 22:19:17 385KB SM541 I2C 校准 数据换算
1
单片机是STM32F103ZET6,结合HX711读取压力传感器传输进来的压力值,利用串口送到PC显示
2019-12-21 22:13:01 2.96MB STM32 HX711
1