HLS语言在vivado中详细的使用教程,从准备工作、新建工程、添加资源、C代码验证及仿真、对算法进行综合、RTL仿真、封装为IP
2019-12-21 21:22:59 1.08MB HLS
1
使用HLS实现的CNN
2019-12-21 21:22:03 9KB 卷积神经网络 HLS
1
1、支持国标GB28181平台、国标GB28181 IPC和国标GB28181 NVR设备同时接入 (支持GB28181-2011版本和GB28181-2016版本)     2、支持国标GB28181设备注册和注销,对所有设备进行管理,获取资源 对资源列表进行管理     3、支持国标GB28181的目录订阅,对接收的订阅通知进行处理     4、支持国标GB28181实时视频请求(支持UDP、TCP主动(tcpactive)、TCP被动(tcppassive))     5、支持国标GB28181 PTZ控制     6、支持国标GB28181 录像查询     7、支持国标GB28181 历史视频点播 (支持UDP、TCP主动(tcpactive)、TCP被动(tcppassive))     8、支持国标GB28181 历史视频下载 (支持UDP、TCP主动(tcpactive)、TCP被动(tcppassive))     9、支持对接收的国标实时视频码流和历史视频码流进行管理     10、支持将国标的PS码流转换成ES码流     11、支持丢包打印和断流打印     13、支持RTSP服务和RTSP会话管理     14、支持RTSP客户端 UDP传输和TCP传输     15、支持国标GB28181设备5000路左右的接入管理,支持国标请求视频在100路左右     16、支持国标28181设备和通道写入mysql数据库      17、支持设备的云台PTZ控制,控制类型:上"up",下"down",左"left",右"right",左上"leftup",左下"leftdown",右上"rightup",右下"rightdown",镜头近"zoomin",镜头远"zoomout", 焦距远"focusfar",焦距近"focusnear", 设置预置位"setpos",调预置位"callpos"     18、支持历史视频的查询和历史视频的点播控制     19、支持对国标设备的控制,"record":录像开启和停止-通道id "guard":布放和撤防-报警通道id "reboot":设备重启-设备id "keyfame":强制关键帧-通道id     20、支持对实时视频的图片截图,通过http直接访问图片    21、支持rtmp和hls会话一直保留    22、支持报警消息(设备上线、下线和设备端报警)通过httpclient方式主动通知    23、支持公网和局域网同时存在    24、支持httpserver,接口支持http+json    25、支持设备上线、下线和设备报警通过httpclient通知到指定的httpserver   26、支持http+json设置平台信息  27、支持http+json获取资源组、资源等信息  29、支持国标28181级联上级
2019-12-21 21:09:11 27.5MB gb2818 rtsp rtmp hls
1
使用HLS创建一个FIR滤波器,并对其源程序、HLS优化等进行分析。
2019-12-21 21:08:32 1.24MB Vivado HLS FIR滤波器
1
具体步骤 1. Jmeter 抓取手机访问直播页面的请求 2. 获取关键步骤 3. 请求:直播地址 响应:返回分割的文件片段 B. 正则表达提取获取的片段列表 C. For each 控制器设置对片段逐一访问,获取请求 3. 加压:进程数设置为50,设置5分钟连续访问 4. 添加负载机,多个机器压力,查看加压状态
2019-12-21 20:53:05 16KB jmeter 压力测试 HLS 流媒体
1
Vivado HLS在ZYNQ-7000上加速opencv的应用。该文档是中文数据手册。
2019-12-21 20:38:26 366KB Vivado HLS
1
ug871-vivado-high-level-synthesis-tutorial: Vivado HLS软件用于将C、C++ 转换为RTL级代码(Verilog等),该过程被称之为HLS 高层次综合。方便软件开发成员进行硬件设计,该文件是Xilinx提供的,用于学习HLS使用的指导文档的完整版。
2019-12-21 20:38:07 10.27MB FPGA Vivado HLS
1
这是基于ZYNQ HLS图像处理算法的资料 详细的中文介绍 可以参考一下
2019-12-21 20:27:14 7.66MB ZYNQ FPGA HLS 图像处理
1
The first high-level synthesis platform for use across your entire SoC design, Stratus High-Level Synthesis (HLS) delivers up to 10X better productivity than traditional RTL design. Based on more than 14 years of production HLS deployment, the Stratus tool lets you quickly design and verify high-quality RTL implementations from abstract SystemC, C, or C++ models.
2019-12-21 20:16:33 10.88MB HLS
1
本书将着重介绍高层次综合(HLS) 算法的使用并以此完成一些比较具体、细分的FPGA应用。我们的 目的是让读者认识到用HLS创造并优化硬件设计的好处。当然,FPGA的并行编程肯定是有别于在多核处理 器、GPU上实行的并行编程,但是一些最关键的概念是相似的,例如,设计者必须充分理解内存层级和带 宽、空间局部性与时间局部性、并行结构和计算与存储之间的取舍与平衡。
2019-12-21 19:55:07 20.52MB FPGA 并行编程 HLS
1