用verilog语言编写的补码加减法器,其中三位数值为,一位符号位。
2019-12-21 20:19:21 606B 补码四位加减法器,verilogHDL
1
一位十进制加减法器--数字逻辑设计及应用课程设计报告 1.0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。 2.用一个开关控制加减法器的开关状态。 3.要求在数码显示管上显示结果。
1
这里面有QuartusII的半加器、1位全加器、4位全加器、4位加减法器的工程文件、原理图、仿真图等,这是我大一的时候做的,能正常运行,如有错误,敬请谅解。
2019-12-21 18:56:04 1.33MB QuartusII
1