用VC编写,能实现各种进路的办理,后台的现场设备状态模拟,还有列车的运行模拟,花了我将近1年的时间才做完的。对初学信号专业的有帮助,该仿真与现场使用的基本上差不多。
2021-05-08 10:30:53 331KB 铁道 标准站 联锁 仿真系统
1
用MATLAB的FuzzyT具箱设计了汽车倒车的模糊控制器.通过仿真实验发现,用模糊控制方法控制汽车倒车,汽车运动轨迹圆滑,倒车准确,具有很好的鲁棒性,具有实际应用价值
2021-05-05 11:30:11 211KB 模糊控制 MATLAB
1
unity3D城市智能交通系统源码。 unity3D城市智能交通模拟系统源码,非常真实的物理交通仿真系统,模拟红绿灯、行人、汽车、AI检测、安全驾驶、刹车、超车、避让、人行道等等,有强大的编辑器工具包,可以创建非常复杂的道路,多种车辆模型、城市模型…… unity3D
2021-04-24 21:05:12 119.72MB unity3D 智能交通系统 系统源码 仿真系统
1
OFDM 技术因能大幅提升通信系统的信道容量和传输速率、有效抑制多径衰落和抵抗码间 干扰,成为无线通信的核心技术。基于 LTE 系统物理层所使用的 OFDM 技术,分析子载波正交性 原理和调制过程,基于 MATLAB 构建了一个 OFDM 系统用于仿真。在搭建好系统后通过改变信道 编码模块来模拟不同信道编码方式,通过对误码率的观察得到结论:众多信道编码方式中能使系统 误码率最低的是 LDPC 编码,将其广泛应用于 OFDM 通信系统后可使系统性能更优异。
2021-04-23 16:14:42 184KB matlab ofdm 仿真
1
2FSK系统仿真框图System View软件仿真,通信原理实验,可以查看输出眼图,查看各个过程的功率谱密度,波形变换
2021-04-19 15:51:58 38KB System View 2FSK
1
witness仿真系统基础教程,是一本很好的witness教材,内容比较基础,适合刚接触witness的同志们学习参考
2021-04-14 21:32:05 1.05MB witness仿真
1
本文主要研究了塔台仿真模拟机空中部分的核心计算模型,介绍了塔台仿真系统的主要结构,重点详细阐述了仿真计算核心的设计,仿真模型的建立,以及实现流程。同时,为了使系统更加灵活,程序可扩展性更强,能够满足复杂大型机场模拟需求,根据飞行阶段的特点和飞行机动形式,分别建立模型进行仿真计算,从而使模拟飞行更加真实。
2021-04-13 00:02:19 967KB 塔台管制; 计算模型; 飞行仿真
1
除了CANoe你还可以试试这个,VDMS车载故障诊断测量仿真系统是国内公司推出的一款总线开发工具,支持CAN/CANFD、LIN、Ethernet、串口等总线测量、测试、模拟、仿真和开发。 VDMS系统诊断测量部分支持基于CAN/CANFD总线的OBD(J1979/ISO15031)、WHH-OBD(ISO27145)、UDS(ISO14229)、ISO15765、J1939等协议测量诊断,VDMS系统还包括协议参数编辑工具、帧编辑解析工具、全局变量工具、CAN/CANFD帧发送器接收器、TCP系统命令测试工具等功能用于辅助分析数据。 VDMS系统的帧事件触发器支持CAN/CANFD/LIN/串口/TCP/DUP帧的测量和节点仿真,VDMS系统支持使用FWR语法编程帧事件数据,可以通过调用Labview VI模板和Labview 进行数据交流,使用Labview强大的图形化编程为VDMS系统实现各种功能,如变量合成、解析、帧滤波、帧校验、复杂逻辑、复杂数学运算、波形发生、信号处理、PID/模糊控制、使用公式脚本、使用Matlab script脚本、使用Microsoft NET库等。 VDMS系统的模拟器部分支持基于CAN/CANFD总线的OBD(J1979/ISO15031)、WHH-OBD(ISO27145)、UDS(ISO14229)、ISO15765、J1939等协议模拟,模拟器可接入真实总线测试环境中,模拟仿真总线节点。 VDMS系统的附加模块部分包括CANOpen测量仿真系统、LIN测量仿真系统、AK协议系统、Modbus系统、NI板卡通用数据采集系统等用于辅助主系统构建更完整的测量、测试、模拟和仿真功能。 每台计算机可以免费试用60天,试用版开启模拟器,方便测试调试。选择登录界面“帮助-免费试用”菜单,打开软件试用注册界面,点击“试用注册”按键完成注册。要验证CAN功能请用户自行准备好一块双通道的周立功系列CAN卡或使用创芯科技的CANalyst-II CAN卡,要同时验证CAN和CANFD功能,请准备好周立功USBCANFD-200U CAN卡。
2021-04-12 11:05:53 602.13MB CANOE OBD UDS J1939
1
几个关于ofdm的simulink仿真论文希望大家有用-基于SIMULINK构建OFDM仿真系统的研究.pdf 几个关于ofdm的simulink仿真论文,希望大家有用哈
2021-04-02 11:03:45 163KB matlab
1
labview 6轴串联机器人离线仿真 其中包括6轴机器人运动学算法 简易机器人语言 仿真显示界面等
2021-03-21 10:05:06 1.49MB LABVIEW simulation
1