基于Xilinx spartan6 lx9的片内block ram读写测试; 包含ip核的例化,读写测试数据的写入读出 真双口模式,读写设置为no change; 对不同地址边读边写;
2021-04-18 21:36:00 5.57MB fpga verilog
1
以我自己的实际应用的片子(Xilinx最具性价比的Spartan-3E系列XC3S500E)为例详细介绍一下双口RAM的IP核配置流程,说到这里还不得不提一个有意思的事,Xilinx的双口RAM是真的双口RAM,而Altera的双口RAM则是两片RAM背靠背模拟实现的,不过Xilinx内部的时钟管理是DLL而Altera是PLL,其实相比较来说我还是喜欢用PLL(习惯了)。
2021-04-15 19:29:56 810KB xilinx FPGA 内部双口 RAM
1
Proteus8086最小系统的256KRAM电路图 本资源仅作个人学习使用,严禁未经本人许可以任何方式在其他平台传播或使用
2021-04-15 14:03:14 97KB 仿真 8086 RAM 图片
1
Proteus搭建的8086最小系统的256KRAM电路图源文件 本资源仅作个人学习使用,严禁未经本人许可以任何方式在任何其他平台传播或使用本资源!
2021-04-15 14:03:06 109KB proteus 8086 256K8位RAM 仿真文件
FPGA片内RAM读写测试Verilog逻辑源码Quartus工程文件+文档说明,使用 FPGA 内部的 RAM 以及程序对该 RAM 的数据读写操作,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。 `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// module ram_test( input clk, //50MHz时钟 input rst_n //复位信号,低电平有效 ); //----------------------------------------------------------- reg[8:0] w_addr; //RAM写地址 reg[15:0] w_data; //RAM写数据 reg wea; //RAM PORTA 使能 reg[8:0] r_addr; //RAM读地址 wire[15:0] r_data; //RAM读数据 //产生RAM地址读取数据测试 always @(posedge clk or negedge rst_n) if(rst_n==1'b0) r_addr <= 9'd0; else r_addr <= r_addr+1'b1; ///产生RAM写入的数据 always@(posedge clk or negedge rst_n) begin if(rst_n==1'b0) begin wea <= 1'b0; w_addr <= 9'd0; w_data <= 16'd0; end else begin if(w_addr==511) begin //ram写入完毕 wea <= 1'b0; end else begin wea<=1'b1; //ram写使能 w_addr <= w_addr + 1'b1; w_data <= w_data + 1'b1; end end end //----------------------------------------------------------- //实例化RAM ram_ip ram_ip_inst ( .wrclock (clk ), // input wrclock .wren (wea ), // input [0 : 0] wren .wraddress (w_addr ), // input [8 : 0] wraddress .data (w_data ), // input [15 : 0] data .rdclock (clk ), // input rdclock .rdaddress (r_addr ), // input [8 : 0] rdaddress .q (r_data ) // output [15 : 0] q ); endmodule
针对 学习笔记二:STM32内部RAM在线调试配置说明(RAM调试模式下不能进入外部中断) 所需的RAM.ini文件
2021-04-12 11:22:53 551B STM32单片机
1
很适合FPGA与ti28335的外部寻址空间zone0的数据交互。标准的读写接口表。
2021-04-05 22:00:48 213KB fpga/cpld dsp
1
单片机RAM扩展练习,比赛练习案例,仿真实例,现成调用封装使用,可运行的仿真电路图和调好的程序,开箱即用。适用于教学案例、毕业设计、电子设计比赛、出书项目实例,实际设计、个人DIY参考。 已调试好,proteus直接可以运行看效果
已经通过的VHDL的源程序,包括74138,74148,dff,ram,二分频程序
2021-03-23 08:42:28 728KB vhdl程序
1
实现通过uart_rx接收PC机发送的数据并将其存储到双口RAM中,然后通过uart_tx发送从双口RAM中读取的数据,显示在PC机中。
2021-03-20 11:34:40 11.92MB vhdl uart ram
1