Verilog编程下的单周期处理器,实现基本的几条指令,单周期处理器由数据通路和控制器组成。采用模块化和层次化设计。
2019-12-21 18:56:56 170KB cpu verilog
1
计算机组成原理作业。Project3。logisim搭建32位单周期CPU,支持addu,subu,lui,ori,beq,jal,nop等指令。
2019-12-21 18:56:46 140KB CPU
1
Project4 VerilogHDL完成单周期处理器开发 适合北航计算机系的学生 1.处理器应 MIPS-Lite3 指令集。 a) MIPS-Lite3={MIPS-Lite2,addi,addiu, slt,j,jal,jr}。 b) MIPS-Lite2 指令集:addu,subu,ori,lw,sw,beq,lui。 c) addi 可以不支持溢出。 2. 处理器为单周期设计。
1
华科,单周期CPUverilog实现,单周期CPUverilog实现,可供参考,hhh。
2019-12-21 18:54:37 10KB CPU verilog
1
MIPS32位单周期CPU 32位MIPS单周期CPU 可以实现16条指令
2019-12-21 18:53:48 19KB MIPS32位
1
电路模拟logisim进行mips单周期CPU开发,支持的指令集MIPS-Lite:addu,subu,ori,lw,sw,beq,lui,j,sb
2019-12-21 18:53:28 181KB logisim mips
1
单周期CPU,实现了lw,sw,add,sub,slt,jmp指令
2019-12-21 18:49:43 1.72MB 单周期 Verilog 计组 体系
1
北航计算机组成课程设计单周期CPU的Verilog代码实现,内包含源代码和相应的测试文件
2019-12-21 18:48:19 13KB 单周期CPU
1