基于Web停车场管理系统的设计与实现开题报告毕业论文+java源码文件+视频说明,基本功能包括:系统信息管理模块、车位信息管理模块、IC卡信息管理模块、固定车主停车管理模块、临时车辆信息管理模块、系统功能操模块等。本系统结构如下: (1)系统信息管理模块:角色的增加、删除、修改和查询;用户的增加、删除、修改和查询。 (2)车位信息管理模块:车位信息的增加、删除、修改和查询。 (3)IC卡信息管理模块:IC卡信息的增加、删除、修改和查询。 (4)固定车主停车管理模块:对固定车主的停车信息进行增加、删除、修改和查询 (5)临时车辆信息管理模块:对临时车辆的停车信息进行增加、删除、修改、查询和打印 (6)系统功能操模块:退出登陆、修改密码。
基于WEB的仓库管理系统的设计与实现设计论文资料+java源码文件+视频说明,,基本功能包括:入库模块、出库模块、商品查看模块、用户注册模块、个人信息管理模块等。本系统结构如下: 入库模块:入库新商品,或者是入库已有商品。 出库模块:对已经入库的商品进行出库操作。 商品查看模块:查看目前的库存商品信息。 用户注册模块:进行帐号密码的注册,包括用户其他信息。 个人信息管理模块:查看或者修个个人信息。
基于java记账管理系统毕业论文+java源码文件+视频说明,Java记账管理系统主要用于财务人员可以从账务中判断公司的发展方向。对个人和家庭而言,通过记账可以制定日后的 消费计划,这样才能为理财划出清晰合理的线条。 (1)用户注册: 用户通过注册功能注册成功后跳转至登录页面方便登录 (2)用户登录: 用户登录成功后: 信息管理:用户可以修改个人的信息 财务管理:用户可以查看自己的财务信息和做一些新的财务记录 财务统计:用户可以查看自己的月收支或年收支,以便做到心里有数 (3)管理员登录: 系统管理: 管理员信息管理:可以对管理员信息进行一些基本操作 用户信息管理:可以对用户进行查询和删除等操作,以便管理用户群 (4)退出: 安全退出主页面,返回登录页面。
> 在线考试系统分为3种角色,admin,teacher,student > > - admin负责整个系统的操作,包含题库管理,知识点管理,教师管理,考试管理,基础信息管理 > - teacher负责题库管理,考试管理,学生管理 > - student是系统的主要使用用户,包含考试系统,试卷回顾 项目数据库使用mysql,脚本文件在文件夹根目录下
项目采用SpringBoot +Maven多模块开发,数据库使用mysql,数据库脚本文件在dorm-web/src/main/resources/sql文件夹中。可以自己部署到IDEA开发工具中运行。
USB FT245BM_test fpga控制逻辑Verilog HDL源码文件,已在项目测试使用,共6个VERILOG module 模块文件,可以用于你的设计参考。 module FT245BM_test( input wire rst_in, //板上复位信号 input wire clk_in, //40M晶振 output wire rtl8208b_rst, //rtl8208B复位信号 //DVI接口 input wire pclk, input wire vs, input wire blank, input wire [7:0] rdata, input wire [7:0] gdata, input wire [7:0] bdata, //USB接口 input wire RXF_n, output wire RD_n, inout tri [7:0] usb_dd, input wire TXE_n, output wire WR, output reg [63:0] data_num, //SDRAM接口 /* output wire sa_clk, output wire [4:0] sa_cnt, output wire [3:0] sa_dqm, output wire [11:0] sa_addr, output wire [1:0] sa_bank, inout wire [31:0] sa_data,
EP1C6Q240 FPGA设计八口百兆单板扫描控制器PROTEL99SE设计硬件原理图+PCB+BOM+FPGA VERILOG源码文件,4层板设计,双面布局布线,已在项目中使用可以做为你的设计参考。
STM32F103_USI8686_MPU6050_HMC5883控制板Protel99se设计硬件原理图+PCB+ 软件源码文件,采用2层板设计,板子大小为90x90mm,单面布局双面布线,CPU为STM32103RBT6,LQFP64封装,主要芯片包括USI8686,MPU6050,HMC5883,XC6219 3.3等。
STC12C5A60S2单片机控制led旋转的所有资料,包括ALTIUM原理图+8个KEIL软件工程源码文件