在VC环境下进行二进制文件读写,并绘制波形,调试运行成功
1
可运行,能够分离声道
2019-12-21 20:02:54 803KB Java 音频 waveform swing-hack
1
用VC++写的,能通过编译,音频编辑运行后打开一个Wave声音文件,可以看到声音形成的波形,并且可以去编辑
2019-12-21 19:55:53 3.86MB 读取文件,波形图
1
本程序采用16QAM调制方式,对一串2进制信源进行调制,用升余弦滚降函数进行基带调制,再调到高频信道;在信道上加入高斯白噪声,运用匹配滤波器解调,画出解调星座图,运用最小欧氏距离译码判决,计算误比特率。
2019-12-21 19:50:56 105KB QAM 采样 星座图 编码
1
该工具使用LABVIEW做的串口显示,充分利用了LABVIEW强大的图形显示功能,可以将串口发来的数据在波形图上进行显示
2019-12-21 19:50:23 27KB LABVIEW
1
使用wpf开发的的获取电脑音频,生成wav文件,并实时显示音频的波形图,参考Sound_Viewer编写
2019-12-21 19:48:49 440KB C# 音频
1
由本人制作的含有EDA交通灯控制器课程设计,课题内容为: (1)正常情况下保证主干道的畅通; (2)当步行街道上的行人要穿过主干道时,通过按钮来发出请求; (3)当有人按下控制按钮时,主干道变为黄灯,设置计数器计时时间为3秒。 (4)3秒过后,主干道变为红灯,计数器继续计时(计时时间为15秒),在15秒内若有人再次按按钮,计数器不重新计时; (5)步行街道在主干道变为红灯时指示变为绿灯,行人可通行,在行人通行10秒后绿灯闪烁,5秒后主干道变为绿灯,与此同时步行道变为红灯。 (6)在主干道变为绿灯后,必须保证主干道车辆通行时间达到30秒以上,在此期间,行人按钮无效。30秒过后,若有人再次按下按钮,重复3)的步骤。
2019-12-21 19:48:41 775KB EDA quartus 自助式交通灯 VHDL
1
使用LABVIEW做的串口显示,充分利用了LABVIEW强大的图形显示功能,可以将串口发来的数据在波形图上进行显示
2019-12-21 19:46:50 29KB LABVIEW 串口 波形图 显示
1
该文档介绍了AD8220和OPA364芯片的一些数据,然后一步步的讲解采集信号时的一些注意事项和采集规则(自己亲身实测的),并配有波形图直观明了。
1
TimeGen3.2画时序图波形图最好的软件
2019-12-21 19:28:51 1.18MB TimeGen3.2 画波形图软件
1