一个基于FPGA的乒乓球电路的设计,给出了设计方法和程序的原代码。
2019-12-21 19:46:47 309KB FPGA
1
用verilog编写的,经过验证,好用-乒乓球游戏机Verilog设计
2019-12-21 19:37:33 1.54MB 乒乓球游戏机Verilog设计
1
C语言编写的乒乓球游戏,人机对战,按W向上移动,按S向下移动。
2019-12-21 19:33:48 1.08MB C语言 小游戏 乒乓
1
单片机产生PWM乒乓球2010北京市电子竞赛
2019-12-21 19:23:05 4KB PWM
1
本人在2018年11月24-25日的广东省工科技能综合实验大赛中获得了二等奖,实际上因为硬件的问题和场地的问题,我们应该获得更高的奖项的。这是所有源码,python的,使用openmvIDE编辑。
2019-12-21 18:54:11 5KB openmv python
1
基于FPGA乒乓球游戏机Verilog设计
2011-04-09 00:00:00 433KB 基于FPGA乒乓球游戏机Verilog设计
1
关于EDA课程设计中 的乒乓球游戏机的设计
2009-05-06 00:00:00 26KB EDA课程设计
1
乒乓球游戏机是模拟乒乓球比赛的过程和规则,并能自动裁判和记分的模拟装置。两人乒乓游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动,在游戏机的两侧个设置发球和击球开关,甲乙双方按乒乓球比赛规则来操作开关。设置自动记分电路,甲、乙双方各用7段译码管进行记分显示,每计满21分为1局,然后记分清零,重新开始新一局比赛。
2008-07-27 00:00:00 277KB 计算机组成原理课程设计
1