基于fpga的vhdl课设_函数信号发生器
2019-12-21 19:56:14 11.99MB fpga vhdl 课程设计 pwm
1
该抢答器使用VHDL语言编写,能实现: (1)能够进行多路抢答,抢答台数为8. (2)能够在抢答开始后进行20秒倒计时,20秒倒计时后无人抢答则显示超时,并报警。 (3)能显示超前抢答台号并显示犯规警报。 (4)系统复位后进入抢答状态,当有一路抢答按键按下,那么该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。
2019-12-21 19:54:18 485KB FPGA VHDL 八人抢答器
1
可控m序列产生器我分成6个小模块来做,PN1,CTRL,COUNTER,FP,SCEN_LED,KEY_XD分别对应为:m序列产生器、控制器、码长选择器、码速率选择器,数码管显示,按键消抖。
2019-12-21 19:51:11 2.19MB 伪随机码 FPGA VHDL modesim
1
本矩阵键盘扫描接口实验是基于VHDL语言的可编程逻辑器件的设计,使用的芯片为FPGA或CPLD,软件为Quartus ii
2019-12-21 19:49:47 1.51MB 矩阵键盘扫描接口 FPGA VHDL语言
1
mBnB 码是光纤通信系统中常用的码型之一, 本次设计了一种简单实用的5B6B 编码方法, 并提出了用A ltera开发系统的硬件描述语言VHDL 实现全数字5B6B 编译码电路的设计思想和方法, 最后给出了波形仿真结果。本文给出了针对该编码方法的除数字锁相环之外的一种简单方便的VHDL 语言设计方法。 关键词: 5B6B 码; FPGA; VHDL 语言; 波形仿真
2019-12-21 19:37:21 512KB 5B6B 码; FPGA; VHDL
1
  本实验为用lcd1602显示0到9之间顺序变化,考虑到状态较多,可通过case语句,每一句对应一个数字,从而实现在lcd1602上的显示。
2019-12-21 19:24:05 3KB verilog FPGA VHDL
1
甘地大学电子专业Ray Ranjan Varghese设计的FPGA实现FFT,采用的是单精度的浮点,采用IEEE745格式的浮点+ROM RAM的方式成功实现FFT,含有设计报告和设计源代码,并有测试文件,真的很不错。
2019-12-21 18:57:56 382KB FPGA VHDL FFT
1
摘要:以FPGA为核心器件,嵌入mc8051 IP核,1602液晶显示器等作为外围,设计的等精度频率计。通过1602液晶显示被测频率值,闸门时间自动调整,测量范围为0.1Hz—100MHz。
2019-12-21 18:51:05 14.69MB MC8051 IP核 FPGA VHDL
1