这是一份基于Verilog的交通灯设计工程文件,已经在QUARTUS II 8.0 (32-BIT)上测试通过,而关于十字路口交通灯控制系统的工作原理的资料建议自行到网上找一找。
2020-04-16 15:07:28 988KB Verilog 交通灯设计 EDA课程设计 QUARTUS
1
8086交通灯 原理图及代码 简单但很全面
2020-01-13 03:16:48 42KB 交通灯 8086
1
交通灯设计VHDL程序代码 交通灯设计VHDL程序代码交通灯设计VHDL程序代码
2020-01-05 00:25:01 289KB 交通灯
1
基于状态机的模拟交通灯设计,使用vhdl语言实现功能。
2020-01-03 11:40:40 622KB vhdl
1
用QuartusII6.0设计的十字路口交通灯控制电路,希望能帮助有需要的朋友。
2020-01-03 11:37:18 194KB 免费 QuartusII6.0 交通灯
1
此设计为十字路口交通灯,主干道支干道各红黄绿三个信号灯 分为四个状态
2020-01-03 11:25:26 3.57MB VHDL VHDL状态机 交通灯 数电课程设计
1
VHDL语言的简易交通灯程序,已经用开发板测试通过,希望我用的是好的,你用,同样是很好的效果,哈哈
2020-01-03 11:22:32 372KB 交通灯 vhdl
1
标准的交通灯protues仿真,实现红灯停,绿灯行、左转、右转、人行道、时间变换控制、黄灯闪烁警告、特殊情况应急功能,几乎可以时间正常的交通灯控制系统功能,另有汇编程序供参考
2020-01-03 11:19:14 56KB protues仿真、交通灯、汇编
1
整个设计以STC89C52RC单片机为核心,由数码管显示,LED数码管显示,复位电路组成。相关资料很完整,实物调试成功.如有疑问请加QQ:527322841,竭诚为您服务,愿我们共同进步!
2020-01-03 11:16:39 493KB 单片机 交通灯设计
1
EDA—交通灯设计希望能帮助大家!
2019-12-28 17:16:50 57KB 毕业论文 课程设计
1