UDP协议在fpga上的实现,verilog代码共有11部分,分为: •arp_rcv.v •arp_send.v •IP_recv.v •IP_send.v •udp_rcv.v •udp_send.v •mac_cache.v •recv_buffer.v •send_buffer.v •toplevel.v •DE2_NET.v
2019-12-21 22:12:35 17KB fpga verilog UDP 以太网通信
1
资源包括源代码和报告。在源代码中,需要对IP进行修改以测试。 基于TCP和UDP协议实现的一个即时通讯工具,具体功能包括: 工具包括服务器端和客户端; 具备用户注册、登录、找回密码功能(基于TCP协议); 两个用户如果同时在线,采用点到点通信方式进行聊天,信息不需要通过服务器中转,服务器也不保存(基于TCP协议); 支持离线消息(基于TCP协议); 支持点到点可靠文件传输(基于UDP协议); 存储在服务器端的数据需要进行强加密; 支持不少于两组用户同时在线交流和传输文件; 文件传输具有良好的性能,能够充分利用网路带宽; 人机交互友好,软件易用性强。
2019-12-21 21:37:48 18.42MB Socket QT
1
C语言实现TCP/IP协议通信和UDP协议通信,可以作为socket编程的代码示例参考并学习,同时提供实验的报告可以一并参考
2019-12-21 21:30:29 2.71MB 计算机网络 socket tcp/ip udp
1
这个 PD F文件是本人(计算机科学与技术专业)大三下学期的计算机网络课程设计的报告,总共36页。主要内容包括:WireShark使用, Java编程,UDP协议,Diffie-Hellman密钥交换实现,DES加密解密,MD5完整性检测等等。
2019-12-21 21:28:02 3.45MB 计算机网络 Diffie Hellman UDP协议
1
基于UDP的网络编程技术,分析类似于QQ群聊程序设计原理和程序流程,选择合适的开发环境,参考已有的群聊程序功能,设计模拟实现基于UDP的群聊应用程序。
2019-12-21 21:25:40 213KB UDP
1
C#基于UDP协议的Socket通信整套源码(包括发送端和接收端
2019-12-21 21:23:50 273KB udp
1
完整版的,经过调试的一个java环境下的基于UDP协议的聊天程序,udp协议聊天程序、java开发、计算机网络课程设计
1
UDP协议实现对等通讯Java+RSA加密解密传送信息实现,有client端和server端
2019-12-21 20:32:11 46KB UDP协议通讯
1
UDPchatroom =========== ##linux下基于UDP的控制台聊天室 make编译 服务器窗口运行:./chatServer 客服端窗口执行./chatClient localhost 8888 1 1是用户id,只能是数字1-9,localhost可以换成主机ip或者127.0.0.1 客户端:群聊格式:0+信息内容(如:0hi) 私聊格式:用户id+信息内容(如:1hello) 服务器:广播格式:0+信息内容(如:0hi) 注:如果make时遇到File `Makefile' has modification time 32 s in the future, 重设系统时间,设置为和PC机大致相同。 ================================================================================= name: 邱意 QQ: 178673693 time: 2014.11.19 version:1.0
2019-12-21 20:29:50 13KB udp 聊天室 私聊
1
湘潭大学 网络协议TCP/IP实验六 UDP 协议分析实验报告,仅供参考
2019-12-21 20:24:29 194KB 网络协议 实验报告
1