呼吸灯是指灯光在微电脑的控制之下完成由亮到暗的逐渐变化,感觉好像是人在呼吸。
2019-12-21 20:55:29 5.05MB 呼吸灯
1
该程序是基于FPGA verilog HDL设计的一个流水呼吸灯的设计(4个LED实现流水和呼吸的效果),适合初学者学习,博客: https://blog.csdn.net/qq_40261818/article/details/81360202
2019-12-21 20:52:08 1KB FPGA verilog 流水灯 呼吸灯
1
通过串口usart控制呼吸灯(pwm方波)的频率闪烁的快慢,该程序是基于stm32f407单片机的。
2019-12-21 20:52:03 8.29MB pwn stm32f407 usart
1
基于51单片机的开发详细讲解,为大家更好的学习51单片机,方便交流
2019-12-21 20:47:12 60KB 基于51单片
1
本程序是用51单片机通过PWM(脉冲宽度调制)方式控制LED,达到呼吸灯的效果。
2019-12-21 20:40:06 30KB 51单片机 PWM DA转换
1
和CSDN上的同名博客想配套的程序,基于stm32,使用定时器来实现控制IO口输出PWM波形,从而是LED灯出现类似呼吸的现象。
2019-12-21 20:36:58 3.13MB pwm 呼吸灯
1
pwm呼吸灯 采用pwm的方式,在固定的频率下,采用占空比的方式来实现LED亮度的变化。占空比为0,LED灯不亮,占空比为100%,则LED灯最亮。所以将占空比从0到100%,再从100%到0不断变化,就可以实现LED灯实现特效呼吸。
2019-12-21 20:28:43 3.63MB stm32
1
1.使用乐鑫官网的esp8266_nonos_sdk_v1.5.4_16_05_20,用ESP8266的PWM功能,写了一个简单的呼吸灯程序 2.驱动IO口分别为GPIO12 13 14 3.使用的模块为nodemcu(ESP-12D) 4.此呼吸灯我目前是用共阴极的灯珠实现的 5.原生SDK修改之处: a.将 driver_lib 和 examples/IoT_Demo 下的文件剪切到 app目录下 b.修改app/user/user_main.c文件
2019-12-21 20:07:48 23.97MB ESP8266 PWM 呼吸灯
1
利用STM8S005 PWM做LED呼吸灯的IAR 源码
2019-12-21 20:06:09 8KB stm8 PWM
1
系统时钟配置详解和ADC10的PWM做的呼吸灯,效果还行
2019-12-21 19:59:25 22KB MSP430 呼吸灯 PWM 系统时钟
1