功能描述: 1.计时器:24小时计时器由2个60进制加计数器和I个24进制加计数器构成,输入CLK为1Hz(秒)的时钟,经过60进制加计数后产生1分钟的进位时钟信号,再经过60进制加计数后产生I小时的进位时钟信号送给24进制加计数器进行加计数,当加计数到达23: 59; 59后,再来一个秒脉冲,产生时的进位输出。将两个60进制加计数器和-一个24进制加计数器的输出送数码管显示,得到计时器的显示结果。其中,秒脉冲由EDA实调仪上的20MHz晶振分频得到。 2.倒计时:24小时倒计时器由2个60进制减计数器和1个24进制减计数器构成输入CLK为1Hz(秒)的时钟,经过60进制减计数后产生I分钟的借位时钟信号,再经过60进制减计数后产生I小时的借位时钟信号送给24进制减计数器进行减计数,当减计数到达00: 00: 00后,产生时的借位输出,同时24小时倒计时器停止倒计时,并发出提醒信号。将两个60进制减计数器和一个24进制减计数器的输出送数码管显示,得到倒计时的显示结果.其中,秒脉冲由EDA实训仪上的20MHz晶振分顿得到。 3.附加100天倒计时:参考倒计时代码即可实现。
2021-03-08 12:15:13 1.05MB FPGA Verilog 附加功能
1
学习fpga时整理的对比c语言的语法概要。
2021-03-06 09:03:30 135KB Verilog 语言
1
洗衣机控制器的要求 1) 设计一个电子定时器,定时时间为99秒,控制洗衣机作如下运转:启动→正转 20 秒→暂停 10 秒→反转 20 秒→暂停 10 秒→定时时间未到回到“正转 20 秒→暂停 10 秒→……”,定时到则停止; 2) 若定时到,则停机发出LED全亮作为指示信号; 3) 用数码管显示洗涤的剩余时间(秒数),按倒计时方式对洗涤过程作计时显示,直到时间到,停机;洗涤过程由按下按键开始;用LED0、LED3、LED6分别表示“正转”、“暂停”、“反转”三个状态,按复位键返回初始状态。 FPGA芯片为XILINX的XC7A100T,软件版本vivado2018.2,程序已经写好绑上自己的管脚就能用,里面有debug和testbench调试程序。
2021-03-05 18:07:38 22.48MB vivado fpga verilog 洗衣机控制程序
可以实现两个四位数相加的电路
2021-03-02 09:05:19 133KB fpga verilog quartus
1
83编码器逻辑电路程序
2021-03-02 09:05:19 3KB fpga verilog quartus
1
数码管秒计数电路
2021-03-02 09:05:18 3.21MB verilog fpga quartus
1
按键消抖电路的程序,可以实现按键消抖功能
2021-03-01 22:05:51 2.96MB verilog fpga quartus
1
一段式状态机的流水灯电路程序,可以实现流水灯功能
2021-03-01 22:05:50 3.1MB fpga led verilog quartus
1
用按键控制球的移动方向,由于我这个板卡上只有四个按键,复位必须要用一个,所以只可以控制左右下 三个方向。
2021-02-25 03:33:41 1.02MB FPGA VERILOG VGA
1
在Verilog语言中经常用到有限状态机,处理相对复杂的逻辑,设定好不同的状态,根据触发条件跳转到对应的状态,在不同的状态下进行相应的处理。在程序中设计8位寄存器,① Idle状态下,判断shift_start是否为高,若高,则进入Start状态;②在Start状态延迟100个周期,进入Run状态,进行移位处理;第一种Melay状态机采用一段式写法,一个always语句中包括状态转移,状态转换台条件判断,数据输出; 第二种Moore状态机采用三段式写法,状态转移用一个always语句,判断状态转移的条件是组合逻辑,采用一个always语句,数据输出也是单独的always语句,直观清晰;
2021-02-21 14:05:16 1KB verilog ZYNQ7035 有限状态机
1