vhdl设计的秒表程序 含有三个子模块 CNT10 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CNT10 is port(count:out std_logic_vector(3 downto 0); cout:out std_logic; cin,rst,clk:in std_logic); end CNT10; architecture behavioral of CNT10 is signal counter:std_logic_vector(3 downto 0); begin process(clk,rst) begin if rst='1'then counter<="0000";cout<='0'; elsif clk'event and clk='1' then if cin='1' then if counter="1001"then counter<="0000";cout<='1'; else counter<=counter+"0001"; cout<='0'; end if; end if; end if; end process; count<=counter; end behavioral; CNT6 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CNT6 is port(count:out std_logic_vector(3 downto 0); cout:out std_logic; cin,rst,clk:in std_logic); end CNT6; architecture behavioral of CNT6 is signal counter:std_logic_vector(2 downto 0); begin process(clk,rst) begin if rst='1'then counter<="000";cout<='0'; elsif clk'event and clk='1' then if cin='1' then if counter="101"then counter<="000";cout<='1'; else counter<=counter+"001"; cout<='0'; end if; end if; end if; end process; count(2 downto 0)<=counter; count(3)<='0'; end behavioral; CLKGEN library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CLKGEN is port(CLK:in std_logic; NEWCLK:out std_logic); end CLKGEN; architecture one of CLKGEN is SIGNAL CNTER:INTEGER RANGE 0 TO 16#270F#; BEGIN PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1'THEN IF CNTER=16#270# THEN CNTER<=0; ELSE CNTER<=CNTER+1; END IF; END IF; END PROCESS; PROCESS(CNTER) BEGIN IF CNTER =16#270F# THEN NEWCLK<='1'; ELSE NEWCLK<='0'; END IF ; END PROCESS; END one;
2021-12-16 22:58:52 1.99MB vhdl、秒表
1
基于AT89C51的课程设计,我就合肥将覅ieu和人家安徽ur煎熬好生日人如果个人
2021-12-14 20:39:07 27KB 秒表
1
java开放的一个小秒表秒表相当的逼真,时间用的是SimpleDateFormat进行的格式化,或者日历类
2021-12-14 14:46:25 9KB java 秒表
1
在体育比赛、时间准确测量等场合通常要求计时精度到1%秒(即10 ms)甚至更高的计时装置,数字秒表是一种精确的计时仪表,可以担当此任。本课题的设计任务设计一个以数字方式显示的计时器,即数字秒表
2021-12-13 19:05:42 94KB 数电课程设计 数字秒表
1
设计一个单片机控制的秒表系统。利用单片机的定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及按键来设计秒表计时器。 基本要求:用AT89C51设计一个2位LED数码显示“秒表”, 显示时间为00~99秒,每秒自动加一。当按“开始”按键,开始计数,数码管显示从00开始每秒自动加一;按“暂停”按键,系统暂停计数,数码管显示当时的计数;按“复位”按键,系统清零,数码管显示00
2021-12-13 13:53:09 203KB 单片机 课程设计 秒表
1
1)设计一个能测量3 名100 米跑运动员短跑成绩的数字秒表。要求用一组四位数码管显示时间, 格式为00.00s,最大计数时间是99.99 秒。 2)秒表设置3 个开关输入(清零开关1 个、记录开关1 个、成绩开关1 个)。按下“记录”开关第 一次, 将记录并储存第一名运动员的成绩, 以此类推。当“记录” 开关按下3 次后,成绩计数结束。 3)成绩计数结束之后,连续按动“成绩”开关,可以把3 个运动员的成绩循环显示在数码管上。 4)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体 电路原理图,阐述基本原理。
2021-12-12 20:23:23 2.44MB 简易数字秒表
1
实用秒表——采用Flash CS6 AS3.0编写发布为EXE文件。具有规定时间计时提醒和倒计时提醒。计时提醒是5秒,倒计时提醒是10秒。
2021-12-09 19:50:39 15.5MB 计时&倒计时
1
资源包含6000字的课程设计报告,51单片机课件ppt,硬件电路设计图,软件源代码等
2021-12-08 20:25:50 3.1MB proteus keil 电子秒表 51单片机
1
四个功能键,分别是对时,分,秒的设置,还有一个是暂停/开始。可以扩展。能够基本实现电子表的功能及需求,有整点蜂鸣
1
java实现的数字秒表 简单易用 自己做得与大家分享。
2021-12-07 17:27:48 4KB java 数字秒表
1