绝对正确,电子信息科学与技术,课程设计,不用51,不用avr就用multisim
2021-12-04 20:21:03 529KB 电子密码锁
1
基于AT89S52制作的电子密码锁,包括keil源码,protues电路图,参考论文,流程图
2021-12-04 17:05:42 593KB 51 密码锁
1
基于51单片机LCD1602显示的电子密码
2021-12-04 16:48:38 11KB 单片机 1602 24c08
1
给未来的自己备用。51单片机课设,电子密码锁,预设123456密码,可修改。有红绿灯指示密码是否正确,撤销,清零等功能。
2021-12-04 14:08:47 270KB 51单片机 电子密码锁 课程设计
1
摘 要:介绍一种通过 Protues 软件成功仿真的电子密码锁的实现过程。它采用高可靠性的STC89C52单片机来实现*和密码酌识别,采用具备IC总线接田的EPROM芯片来完成密码的聋储,通过l602液吊显示器提示程序运行状态和使用步骤,利用蜂鸣器模拟报警,发光二极管模拟锁的开关。该系统用C语言编写程序,与汇编语言相比具备更好的移植性和可读性,便于修改和增减功能。   0、引言   电子密码锁是现代生活中常用的加密工具。它克服了机械式密码锁密码量少、安全性能差的缺点,尤其是微控制器的智能电子密码锁;不仅具有电子密码锁的功能”还可引人智能化管理功能,从而使密码锁具有更离的安全性和可靠性。
1
基于VHDL设计用PGA实现一款简易电子密码锁QUARTUS工程源码+文档说明 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity time_counter is port( clk:in std_logic; --50M时钟输入 reset_n:in std_logic; --复位信号输入 password1_in:in std_logic_vector(3 downto 0); -- password2_in:in std_logic_vector(3 downto 0); -- password3_in:in std_logic_vector(3 downto 0); -- password4_in:in std_logic_vector(3 downto 0); -- ok_signal_counter_in:in std_logic_vector(2 downto 0); seg_duan:out std_logic_vector(7 downto 0); --数码管段信号输出 seg_wei:out std_logic_vector(7 downto 0) --数码管位信号输出 ); end time_counter; architecture time_counter_behave of time_counter is signal clk_1hz: std_logic; signal count: std_logic_vector(24 downto 0); signal clk_scan: std_logic; signal seg_select: std_logic_vector(2 downto 0); signal scan_count: std_logic_vector(13 downto 0); begin -- //**************************************************************************************************** -- // 模块名称:50M时钟分频至1HZ模块 -- // 功能描述: -- //**************************************************************************************************** process(clk,reset_n) begin if(reset_n = '0')then clk_1hz <= '0'; count <= "0000000000000000000000000"; elsif(clk'event and clk = '1')then--上升沿触发 if(count = "1011111010111100001000000")then-- count <= "0000000000000000000000000"; clk_1hz <= not clk_1hz; else count <= count + '1'; end if; end if; end process; -- //**************************************************************************************************** -- // 模块名称:数码管扫描时钟产生模块 -- // 功能描述: -- //************************************************************************************
很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考
2021-11-30 22:05:08 43KB FPGA
1
EDA电子密码锁课程设计
2021-11-29 17:22:40 167KB EDA
1
分享知识,共同学习。很适合做毕业设计或者是课程设计的参考,由51单片机作为主控芯片,AT24C02作为外扩rom来进行断电记忆。
2021-11-29 14:25:06 78KB 51单片机 电子密码锁 断电保护 AT24C02
1
本文采用STC89C52单片机设计的电子密码锁,利用内部E2PROM资源,不需要外接程序存储器就能完成修改密码等多种功能,并且保密性高、成本低、简单易行,符合住宅、部门办公安全要求。
2021-11-23 21:19:48 363KB STC89C52 单片机 电子密码锁 文章
1