只为小站
首页
域名查询
文件下载
登录
20210715-信达证券-致远互联-688369-深度报告:“产品+技术+生态+营销”
四位
一体,赢协同管理云时代先机.pdf
20210715-信达证券-致远互联-688369-深度报告:“产品+技术+生态+营销”
四位
一体,赢协同管理云时代先机.pdf
2021-07-17 09:02:50
2.47MB
行业
双向循环链表来实现长整数四则运算
利用双向循环链表来实现对长整数的存储。每个节点只存储
四位
十进制数字。选择该数据结构来完成长整数的加减运算是因为要对长整数进行运算,需要对长整数进行存储,所以选择用链表对长整数存储,又由于存储的顺序是从左到右,而运算的顺序则是从右到左,这样位了操作方便选择循环链表,在运算过程中有进位和借位的操作,所以最终选择双向循环链表的数据结构
2021-07-08 19:55:07
62KB
双向循环链
节点只存储四位十进制数
1
构建“
四位
一体”体系 推动产业高质量发展.pdf
构建“
四位
一体”体系 推动产业高质量发展.pdf
2021-07-08 12:03:49
1.41MB
电商平台
电商系统
数据应用
互联网
四位
无符号数乘法器的VHDL语言设计
四位
无符号数乘法器的VHDL语言设计,
四位
乘法器输入信号为a_in , b_in , 均为
四位
无符号数,输出为c_out, 为八位无符号数,有c_out = a_in × b_in 。程序设计中利用a_in与b_in (n) (n= 0, 1, 2, 3) 分别相乘后左移 n位再累加的方法来实现乘法功能。包含程序代码(VHD)、仿真波形图以及简单的设计报告。希望能给你提供一点帮助。
2021-07-07 20:06:42
395KB
乘法器
VHDL语言设计
1
计算机组成原理实验 Quartus
四位
无符号数乘法器
计算机组成原理实验 Quartus
四位
无符号数乘法器
2021-07-07 12:58:13
167KB
组成原理
Quartus
乘法器
1
用
四位
数七段显示器和几个按钮制作秒表-电路方案
了解如何使用
四位
数七段显示器和几个按钮(全部使用Meadow)制作秒表。 硬件组件: Meadow F7 Micro×1个 带Hack Kit Pro的Meadow F7微型开发套件×1个
四位
数七段显示×1个 按钮×2 跳线(通用)×1个 软件应用程序和在线服务: Microsoft Visual Studio 2019 在本项目中,我们将学习如何使用Meadow.Foundation驱动程序堆栈通过按钮和
四位
数的七段式显示器来构建简单的秒表(秒和分钟)。 Meadow.Foundation一个平台,用于在Meadow上使用.NET快速轻松地构建连接的事物。它由Wilderness Labs创建,是完全开源的,由Wilderness Labs社区维护。 如果您是使用Meadow的新手,建议您通过控制Onboard RGB LED项目进入“入门Meadow”,以正确设置开发环境。
2021-07-07 10:46:42
1.17MB
秒表倒计时源程序
数码管电路
电路方案
1
四位
全加器verilog代码
`timescale 1ns/1ns module fulladd_4(sum,c_out,a,b,c_in); output [3:0] sum; output c_out; input [3:0] a,b; input c_in; wire p0,g0,p1,g1,p2,g2,p3,g3; wire c4,c3,c2,c1; ............ ............
2021-07-05 14:36:35
915B
verilog
1
四位
全加全减器
四位
全加全减器实现 library IEEE;--
四位
全加全减器(复用加法器) use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all;--要用信号加法,要加此句 entity AM is port( Flag:in std_logic;--1为减法 0为加法 Cin :in std_logic;--进位(借位)输入 A,B :in std_logic_vector(3 downto 0);--A为加(减)数,B为被加(减)数 Sum :out std_logic_vector(3 downto 0);--结果输出 Cout:out std_logic--进位(借位)输出 ); end AM;
2021-07-05 08:40:21
148KB
FPGA
VHDL
四位全加全减器
1
003基于单片机
四位
竞赛抢答器系统Proteus仿真程序设计(包含程序和仿真)
基于单片机
四位
竞赛抢答器系统Proteus仿真程序设计,设计内容如下: 1、设计一个
四位
竞赛抢答单片机系统,可同时供 4 名选手或 4 个代表队参加比赛, 每队设置单独的抢答按钮,编号为 1、2、3、4; 2、给节目主持人设置一个“抢答开始”控制开关,用来控制抢答开始; 3、给节目主持人设置一个“系统清除”控制开关,用来控制系统清零; 4、抢答器具有编号锁存、抢答计分、时间显示和声响提示等功能。 5、抢答成功后,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系 统清零为止; 6、抢答器具有利用旋钮调整抢答时间功能,时间范围 20 秒~50 秒。
2021-07-04 14:03:23
158KB
单片机
抢答器
简单的猜数字游戏源代码(
四位
数)
可以提示在个十百千位中你猜对了几个数!菜鸟所写,高手别来...字符界面!
2021-07-04 10:40:53
2KB
猜数字
游戏
源代码
1
个人信息
点我去登录
购买积分
下载历史
恢复订单
热门下载
cublas64_11.dll cublasLt64_11.dll cusolver64_11.dll
2022学术英语写作(东南大学) 章节测试+期末test答案
Vivado永久激活license(亲测可用)包(搜集的全部可用LICENSE)
JPEG的Matlab实现
东南大学英语技术写作慕课所有答案
校园网规划与设计(报告和pkt文件)
鲸鱼优化算法 WOA matlab源代码(详细注释)
python爬虫数据可视化分析大作业.zip
Keil5安装包
校园网规划与设计和pkt文件
STM32F4时钟触发ADC双通道采样DMA传输进行FFT+测频率+采样频率可变+显示波形
IBM.ILOG.CPLEX.Enterprise.Server.v12.10.0.Win64.rar CPLEX下载
适用于eNSP 1.3.00 可加载的USG6000V防火墙设备包
华为结构与材料工程师-知识点总结【by詹姆斯申易登】.pdf
matlab时频分析工具箱+安装方法+函数说明+最新版tftb.
最新下载
论文研究-线性变压器阻抗匹配的设计与实现 .pdf
联想g460 bios 29CN38WW(V2.15)
电磁场数值计算PPT教学.zip
BCM54616S cadence 原理图
极域电子教室管理系统软件V2.0 2022稳定版 支持Win11
Labview生成梯形波
第12月工资与年终奖税收最优化分配计算器
《Artix7修炼秘籍》-MIA701第二季20171009.pdf
XenCenter7.6中文版和XenService7.6的iso镜像
支持MP4播放的QtWebEngineCore(5.15.2+vs2019)
其他资源
CAD去教育版插件
《HFSS电磁仿真设计应用详解》电子版pdf
DriverStudio3.2.1完全安装版
三维坐标系通过欧拉角转换坐标
matlab编程实现pagerank公式
51单片机音乐起风了.txt
DENCLUE算法原理
计算机视觉:一种现代方法(中文版)
simatic ProSave_v14 sp1
WireGuard客户端(Windows v0.3.4 + Android v1.0.20201224)
数据结构课程设计--魔王语言解释实习报告
粗糙集属性约简matlab
11A-2019年第十一届全国大学生数学竞赛初赛数学类(A卷)试题解答.pdf
mac下编译hadoop3.1.4源码生成的native库
华为8.0 TWRP 第三方、recovery.txt
最小二乘法程序,内有说明
news4.docx
Neospeech英文男声_Paul.part4.rar 共4部分 只要1分
net软件工程师毕业论文
Optimized ScrollView Adapter v3.0.2
等级保护产品措施对照 - 等保2.0.rar
lenovo edu9.1 mbr版底层驱动
java 调用webservice使用HttpClient和XFire两种方式