使用MFC编写出的2FSK程序实现
2022-06-22 22:49:31 216KB 2FSK
1
SystemView正弦信号发生器.doc
2022-06-21 19:11:52 1.99MB 指导书
1
在实际工业和科技等领域中经常需要高精度且频率方便可调的多信号源。研究设计了基于 FPGA的直接数字频率合成(DDS)多信号发生器的基本组成和设计原理,给出了硬件描述语言 VHDL编程实现方法,在Quartus II软件环境下对多信号发生器进行了仿真,用ALTERA公司的Cyclone IV硬件平台实现了程序的下载。实现了正弦波、锯齿波、方波、三角波等的频率可调、相位可调、幅值可调等功能,且准确度高,性价比良好。
2022-06-21 10:31:44 291KB 自然科学 论文
1
PWM信号发生器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Pwm is port( clk: in std_logic; --clk signal wr_n:in std_logic; --write signal addr:in std_logic; --address signal WrData:in std_logic_vector(7 downto 0); --writedata signal PwmOut:out std_logic); --Global signal end Pwm; architecture one of Pwm is signal period:std_logic_vector(7 downto 0); signal duty:std_logic_vector(7 downto 0); signal counter:std_logic_vector(7 downto 0); Begin process(clk,WrData) begin if rising_edge(clk) then if (wr_n='0') then if addr='0' then period<=WrData; duty<=duty; else period<=period; duty<=WrData; end if; else period<=period; duty<=duty; end if; end if; end process; process(clk) begin if rising_edge(clk) then if counter=0 then counter<=period; else counter<=counter-1; end if; if counter>duty then PwmOut<='0'; else PwmOut<='1'; end if; end if; end process; end one;
2022-06-19 14:28:17 20.91MB vhdl
1
产生pwm波,实现频率可调,占空比可调,并在quartus完成测试。
2022-06-18 21:35:31 1.48MB quartus 基于FPGA的PWM波发生 fpga
1
本程序基于51单片机protues仿真实现正弦波,方波,锯齿波的输出,并且可以改变频率
2022-06-12 22:14:51 3KB 51单片机
1
本文介绍了以直接数字频率合成技术(DDS)为基础的波形信号发生器工作原理和设计过程,并在FPGA实验平台上设计实现了满足各功能指标的信号发生器。
2022-06-12 16:14:05 95KB DDS FPGA DSP 文章
1
m序列是最长线性反馈移位寄存器序列的简称,它是由带线性反馈的移位寄存器产生的周期最长的一种伪随机序列。是由移位寄存器、反馈抽头及模2加法器组成。m序列一旦反馈多项式及移位寄存器初值给定,则就是可以预先确定并且可以重复实现的序列,该特点使得m序列在数据白噪化、去白噪化、数据传输加密、解密等通信、控制领域使用广泛。因此,深入学习研究m序列具有重要的实际意义。
2022-06-11 23:41:30 56KB M序列 信号发生器 FPGA verilog
1
本设计可以找到源码,可以为您做设计,请看个人简介信息获取,资源免费,希望您给个关注,后续会上传源码,关注后第一时间会通知到您。感谢!
2022-06-11 21:54:21 68KB Java 毕设 课设 小程序
1
该文档是通过四个按键来控制四种波形:正弦波,方波,三角波和锯齿波的发生。
2022-06-11 19:19:44 2.54MB 单片机 信号发生器
1