基于FPGA的彩色图片VGA显示,采用xilinx公司开发板zybo,实现256*256大小的图片vga显示
2019-12-21 21:16:35 10.89MB FPGA VGA
1
VGA接口显示器显示汉字设计,能够显示汉字,含有程序已做成报告!
2019-12-21 20:40:37 108KB VGA
1
利用FPGA实现图片的ROM存储及VGA显示,图片大小为64*64,利用matlab生成.mif文件,利用ROM读取,实现VGA显示
2019-12-21 20:30:19 1.12MB verilog 存储显示
1
在FPGA开发平台上,通过按键控制一个弹球小游戏。输出VGA显示信号输送到显示器上显示。
2019-12-21 20:26:39 3.79MB FPGA 按键控制 弹球小游戏 VGA显示信号
1
基于de2-35的开发板,做的VGA显示图像程序,引脚以设好,只用下载到板子上 看结果
2019-12-21 20:26:12 1.71MB DE2 VGA
1
本设计通过串口发送图片数据到FPGA,然后FPGA存储,最终通过FPGA驱动VGA显示
2019-12-21 20:23:20 25.79MB FPGA
1
里面有详细的实验准备、实验内容步骤、实验程序分析、实验结果等.
2019-12-21 20:11:01 779KB vhdl fpga VGA vhdl实验
1
这是一个基于VGA显示和PS2键盘的贪吃蛇游戏进入时屏幕提示“enter to play”,W,S,A,D四 个CS游戏方向键,可按下P(PAUSE)暂停,进入选择关级,然后按下G(GO_ON)继续。游戏设置9关, 每关吃下21个苹果即可过关。蛇的移动速度随着关级增加。每次按下按键都会有蜂鸣器提示声(暂时 没有设置声音开关按钮,有兴趣的同学可以自己设计一下)。
2019-12-21 20:08:38 8.32MB FPGA 源码 贪吃蛇 VGA
1
VGA 显示colour bar实验
2019-12-21 20:05:55 14.44MB FPGA;VGA
1
使用Verilog程序编写,采集OV7725摄像头,并使用SDRAM缓存,最后使用VGA在640*480的液晶屏显示
2019-12-21 20:03:04 1.86MB OV7725,VGA
1