FSK的调制和解调,通过滤波,判决后得到输出信号。从频域和时域上都进行了详细的分析。
2021-09-24 14:31:28 4KB FSK matlab
1
根据FSK调制和解调的工作原理,提出了一种基于FPGA芯片的FSK调制解调器。该系统采用键控法设计调制器模块,利用过零检测法实现解调器模块。利用VHDL语言在Altera公司的Cyclone系列的EP1C12Q240C6芯片上软件编程,完成了整个系统相应的时序仿真。硬件实验测试表明:FSK解调信号波形和调制信号波形一致,二者之间存在一定的延时,满足系统的设计要求,整个系统具有较高的可靠性和移植性。
2021-09-13 13:20:30 1.35MB 自然科学 论文
1
数字通信系统中的数字调制与解调技术包括幅度键控(ASK)、频移键控(FSK)和相移键控(PSK),而FSK是应用较广的一种调制与解调方式。利用VHDL语言设计了2FSK调制解调器,并通过Quartus Ⅱ仿真平台进行仿真验证,最后下载到FPGA芯片EP1K30QC208-2实现了2FSK调制解调电路。仿真及实验结果表明采用此设计方案是可行的,并具有速度快、可靠性高及易于大规模集成的优点。
2021-09-09 17:24:41 1.14MB 工程技术 论文
1
不同调制指数下8FSK信号的频谱特征
2021-08-30 14:02:07 2KB 8FSK
1
基于FPGA的ASK_PSK_FSK信号的设计与实现
2021-07-26 14:11:00 367KB FPGA ASK_PSK_FSK 信号
1
本文说明如何配置AD9958/AD9959多通道DDS,通过叠加DDS输出实现稳定的相位相干频移键控(FSK)调制器。
2021-07-21 01:25:57 480KB FSK 相位相干 多通道 频率转换
1
本电路利用移频键控法,由信号源产生不同的载频频率作为两个不同频率的载频信号,即为相位不同的数字调频信号,由基带信号对不同频率的载波信号进行选择。通过proteus软件对分析过程进行仿真,清楚的展现2FSK数字频带传输系统的结构组成和传输特性。
1
基于FPGA的FSK信号的设计与实现.pdf
2021-07-13 19:04:29 109KB FPGA 硬件技术 硬件开发 参考文献
FSK-Verilog代码
2021-07-10 20:35:36 12KB FSK
1
2fsk信号的相干解调MATLAB代码。此为一般课程所能用到,通过对2fsk信号的产生进行模拟,以及信号在信道中传播,进过滤波器等产生等。画出图像 这是FSK二进制频移键控的仿真和FPGA实现的代码,用matlab做了连续、非连续fsk的仿真,用quartus进行verilog和VHDL实现,最后用modelsim进行仿真。
2021-07-09 14:04:32 35.86MB 课程设计 matlab VHDL VERilog