FPGA verilog can mcp2515 altera xilinx工程 代码 程序 ...altera、xilinx工程 均提供 ...标准帧、扩展帧 均提供 ...提供仿真激励文件testbench 资料包清单: 1.程序:altera/xilinx工程代码、Verilog/testbench均提供。 代码均在电路板验证 2.说明书 3.quartus ii 13.0:软件安装包 注1:工程均带有激励testbench,软件安装好之后,仿真路径设置之后,打开,点击RTL Simulation即可开始仿真 注2:所有代码均为纯Verilog(PLL除外) 注3:给出testbench代码,并且已经在电路板中验证过。
2023-09-18 09:36:59 29KB fpga开发 编程语言 软件/插件
1
jtag_vpi TCP / IP控制的VPI JTAG接口。 +------------------+ +-----------------+ +------------------+ +----------+ + + + + + + + + + Testbench client + <=> + JTAG VPI server + <-> + JTAG VPI verilog + <--> + JTAG TAP + + + + + + + + + +------------
2023-09-13 00:05:10 75KB Verilog
1
Verilog数字系统设计教程(第2版)
2023-09-07 14:45:02 43.99MB Verilog
1
异步FIFO设计原理与设计方法以及重要问题汇总(包含verilog代码-Testbench-仿真结果)
2023-09-07 08:52:39 27KB fpga verilog
1
夏宇闻verilog权威讲解,绝对够经典。
2023-09-05 20:01:54 12.92MB 夏宇闻 verilog
1
夏宇闻撰写的Verilog经典教程,非常实用的一本教材。
2023-09-05 19:58:51 1.73MB Verilog 夏宇闻
1
Verilog以太网组件自述文件 有关更多信息和更新: : GitHub存储库: : 介绍 以太网相关组件的集合,用于千兆位,10G和25G数据包处理(8位和64位数据路径)。 包括用于处理以太网帧以及IP,UDP和ARP的模块,以及用于构建完整UDP / IP堆栈的组件。 包括用于千兆位和10G / 25G的MAC模块,一个10G / 25G PCS / PMA PHY模块以及一个10G / 25G组合MAC / PCS / PMA模块。 包括各种与PTP相关的组件,用于实施需要精确时间同步的系统。 还包括利用完整cocotb测试平台。 仅对于IP和ARP支持,请使用ip_complete(1G)或ip_complete_64(10G / 25G)。 要获得UDP,IP和ARP支持,请使用udp_complete(1G)或udp_complete_64(10G / 25G
2023-08-23 14:15:07 1.92MB Verilog
1
Verilog HDL教程,是初学者的好教材
2023-08-03 14:48:31 4.58MB Verilog HDL
1
台湾清华Verilog HDL教程,硬件描述语言介绍 Verilog,是初级入门的教材,提供的是网页版的,里面有一个index.html。
2023-08-03 14:44:35 1.33MB 硬件描述语言 Verilog
1
非常详细的讲解 非常容易学习 非常容易学习
2023-08-03 14:42:32 25.85MB Verilog
1