在嵌入式开发中,USART(通用同步/异步收发传输器)是微控制器(如STM32)与外部设备通信的重要接口。本话题主要探讨如何在STM32等MCU上,利用普冉PY32实现USART串口的不固定长度数据接收以及printf函数的发送重定向。这一功能在很多实际应用中非常实用,例如远程调试、数据传输等。 我们需要了解USART的基本工作原理。USART是一种全双工通信接口,可以同时进行发送和接收数据。在STM32中,我们通常使用中断(Interrupt)或DMA(直接内存访问)来处理数据的接收和发送,以便于处理其他任务而不阻塞主循环。 对于不固定长度的数据接收,关键在于正确地识别数据包的边界。一种常见的方法是定义一个特定的帧结构,比如起始和结束字符,或者包含数据长度字段。在中断服务程序中,当接收到起始字符时,启动接收过程,将接收到的数据存储到缓冲区,并在检测到结束字符或读取到数据长度字段后停止接收。这样可以确保即使数据长度未知,也能完整地接收整个数据包。 接下来,我们讨论printf发送重定向。在C语言中,printf函数通常用于向标准输出(通常是控制台)打印信息。但在嵌入式系统中,没有标准输出的概念,我们可以自定义printf的输出目的地。通过重定向stdio流,我们可以让printf的数据发送到USART串口,实现远程调试信息的输出。这需要我们覆写中的相关函数,如vfprintf,然后在覆写的函数中调用USART的发送函数,将字符数据送出去。 具体实现步骤如下: 1. 定义一个全局的缓冲区,用于存放printf的输出数据。 2. 覆写vfprintf函数,使其将输出数据写入缓冲区而不是标准输出。 3. 创建一个定时器中断或者在空闲时间检查缓冲区,当缓冲区中有数据时,通过USART的发送函数将数据发送出去。 4. 需要注意的是,由于USART发送通常是异步的,因此需要处理好发送队列,避免数据丢失或乱序。 在提供的文件"USART_IT_串口printf重定向+不定长接收(003带库)"中,可能包含了实现上述功能的源代码。代码中可能包括了USART的初始化配置、中断服务程序、printf重定向的相关函数等。通过阅读和理解这些代码,你可以学习到如何在实际项目中实现类似的串口通信功能。 总结来说,实现STM32的USART串口不固定长度数据接收和printf发送重定向,需要理解USART的工作原理、中断服务程序的设计以及stdio流的重定向。这不仅能提高你的嵌入式编程技能,也为开发各种通信应用打下坚实的基础。
2024-08-20 10:44:39 4.08MB stm32
1
1.版本:matlab2014/2019a/2021a,内含运行结果,不会运行可私信 2.领域:智能优化算法、神经网络预测、信号处理、元胞自动机、图像处理、路径规划、无人机等多种领域的Matlab仿真,更多内容可点击博主头像 3.内容:标题所示,对于介绍可点击主页搜索博客 4.适合人群:本科,硕士等教研学习使用 5.博客介绍:热爱科研的Matlab仿真开发者,修心和技术同步精进,matlab项目合作可si信 %% 开发者:Matlab科研助手 %% 更多咨询关注天天Matlab微信公众号 ### 团队长期从事下列领域算法的研究和改进: ### 1 智能优化算法及应用 **1.1 改进智能优化算法方面(单目标和多目标)** **1.2 生产调度方面** 1.2.1 装配线调度研究 1.2.2 车间调度研究 1.2.3 生产线平衡研究 1.2.4 水库梯度调度研究 **1.3 路径规划方面** 1.3.1 旅行商问题研究(TSP、TSPTW) 1.3.2 各类车辆路径规划问题研究(vrp、VRPTW、CVRP) 1.3.3 机器人路径规划问题研究 1.3.4 无人机三维路径规划问题研究 1.3.5 多式联运问题研究 1.3.6 无人机结合车辆路径配送 **1.4 三维装箱求解** **1.5 物流选址研究** 1.5.1 背包问题 1.5.2 物流选址 1.5.4 货位优化 ##### 1.6 电力系统优化研究 1.6.1 微电网优化 1.6.2 配电网系统优化 1.6.3 配电网重构 1.6.4 有序充电 1.6.5 储能双层优化调度 1.6.6 储能优化配置 ### 2 神经网络回归预测、时序预测、分类清单 **2.1 bp预测和分类** **2.2 lssvm预测和分类** **2.3 svm预测和分类** **2.4 cnn预测和分类** ##### 2.5 ELM预测和分类 ##### 2.6 KELM预测和分类 **2.7 ELMAN预测和分类** ##### 2.8 LSTM预测和分类 **2.9 RBF预测和分类** ##### 2.10 DBN预测和分类 ##### 2.11 FNN预测 ##### 2.12 DELM预测和分类 ##### 2.13 BIlstm预测和分类 ##### 2.14 宽度学习预测和分类 ##### 2.15 模糊小波神经网络预测和分类 ##### 2.16 GRU预测和分类 ### 3 图像处理算法 **3.1 图像识别** 3.1.1 车牌、交通标志识别(新能源、国内外、复杂环境下车牌) 3.1.2 发票、身份证、银行卡识别 3.1.3 人脸类别和表情识别 3.1.4 打靶识别 3.1.5 字符识别(字母、数字、手写体、汉字、验证码) 3.1.6 病灶识别 3.1.7 花朵、药材、水果蔬菜识别 3.1.8 指纹、手势、虹膜识别 3.1.9 路面状态和裂缝识别 3.1.10 行为识别 3.1.11 万用表和表盘识别 3.1.12 人民币识别 3.1.13 答题卡识别 **3.2 图像分割** **3.3 图像检测** 3.3.1 显著性检测 3.3.2 缺陷检测 3.3.3 疲劳检测 3.3.4 病害检测 3.3.5 火灾检测 3.3.6 行人检测 3.3.7 水果分级 **3.4 图像隐藏** **3.5 图像去噪** **3.6 图像融合** **3.7 图像配准** **3.8 图像增强** **3.9 图像压缩** ##### 3.10 图像重建 ### 4 信号处理算法 **4.1 信号识别** **4.2 信号检测** **4.3 信号嵌入和提取** **4.4 信号去噪** ##### 4.5 故障诊断 ##### 4.6 脑电信号 ##### 4.7 心电信号 ##### 4.8 肌电信号 ### 5 元胞自动机仿真 **5.1 模拟交通流** **5.2 模拟人群疏散** **5.3 模拟病毒扩散** **5.4 模拟晶体生长** ### 6 无线传感器网络 ##### 6.1 无线传感器定位 ##### 6.2 无线传感器覆盖优化 ##### 6.3 室内定位 ##### 6.4 无线传感器通信及优化 ##### 6.5 无人机通信中继优化 #####
2024-08-19 16:57:32 25.24MB matlab
1
STM32F1xx系列是意法半导体(STMicroelectronics)推出的一款基于ARM Cortex-M3内核的微控制器,广泛应用于各种嵌入式系统设计,包括电机控制。在这个项目中,我们将探讨如何利用STM32F1xx来控制步进电机,实现精细的三维运动控制。 步进电机是一种将电脉冲转换为精确角度位移的执行器,它通过接收到的脉冲信号数量和频率来决定转动的角度和速度。在三维运动控制中,通常需要三个独立的步进电机分别驱动X、Y、Z轴,以实现精准的定位和移动。 我们需要了解STM32F1xx的硬件特性,它包含了多个定时器资源,如TIM1、TIM2等,这些定时器可以配置为PWM(脉宽调制)模式,用于生成步进电机所需的脉冲序列。PWM的占空比决定了步进电机的转速,而脉冲频率则决定了电机转动的精度。 在编程过程中,我们首先要配置GPIO端口,将它们设置为推挽输出模式,以便驱动步进电机的各相线。接着,我们需要配置相应的定时器,设定预分频因子和自动重载值,以达到所需的脉冲频率。同时,通过设置定时器的捕获/比较通道,我们可以生成不同占空比的PWM信号,以控制电机的速度。 对于步进电机的控制,有几种常见的驱动模式,如全步进、半步进和微步进。全步进模式是最基础的,每接收一个脉冲,电机转子移动一步;半步进模式是通过交错两相线的脉冲,使每次脉冲电机转子移动半步;而微步进模式则是进一步细分每一步,可以提供更精细的控制,但需要更复杂的驱动电路。 在三维运动控制中,需要对每个轴进行独立的步进电机控制。为了实现这个目标,我们需要编写程序来计算和同步X、Y、Z轴的脉冲序列。这通常涉及到坐标变换和运动规划算法,例如笛卡尔坐标到极坐标的转换,以及插补算法(如直线插补或圆弧插补)来平滑电机的运动路径。 在实际应用中,还需要考虑电机的过载保护和电流控制,以防止电机过热或损坏。此外,为了提高系统的稳定性和响应性,可能还需要采用PID(比例-积分-微分)控制器来调节电机速度和位置。 利用STM32F1xx控制步进电机实现三维运动涉及的知识点包括: 1. STM32F1xx的硬件资源(定时器、GPIO)配置。 2. PWM的生成和占空比调整。 3. 步进电机的工作原理和控制模式。 4. 三维运动控制的坐标变换和运动规划。 5. PID控制理论及其在电机控制中的应用。 通过深入了解这些知识点,并结合实际的代码实现,我们可以成功地利用STM32F1xx控制器开发出一个能够精确控制步进电机三维运动的系统。在压缩包中的“dianji1”文件可能是与该项目相关的源代码或硬件设计文件,进一步的分析和学习需要查看这些具体内容。
2024-08-19 13:49:09 395KB stm32
1
【NSGA II多目标精华算法matlab程序实现】 NSGA II(非支配排序遗传算法第二代)是一种在多目标优化领域广泛应用的算法,由Deb等人于2000年提出。它通过模拟自然选择和遗传进化过程来寻找帕累托前沿的解,即在多个目标之间找到一组最优的折衷解。MATLAB作为一种强大的数值计算和可视化工具,是实现NSGA II的理想平台。 **算法流程** 1. **初始化种群**:随机生成初始种群,每个个体代表一个潜在的解决方案。 2. **适应度评估**:对每个个体计算其在所有目标函数下的表现,通常使用非支配等级和拥挤距离作为适应度指标。 3. **选择操作**:使用选择策略(如锦标赛选择、轮盘赌选择等)保留部分个体进入下一代。 4. **交叉操作**(基因重组):随机选取两个父代个体,通过交叉策略(如单点、双点或均匀交叉)生成子代。 5. **变异操作**:在子代中引入随机变异,增加种群多样性。 6. **精英保留**:将上一代中的非支配解保留到下一代,确保帕累托前沿的连续性。 7. **重复步骤2-6**,直到满足停止条件(如达到最大迭代次数或满足性能指标)。 **MATLAB程序结构** 1. **NSGA_II_Abril.m**:这是主程序文件,负责调用各个子函数,执行NSGA II的主要流程。 2. **test_case.m**:可能包含特定问题的测试用例,用于验证算法的正确性和性能。 3. **NDS_CD_cons.m**:非支配排序和拥挤距离计算模块,这部分是评估个体适应度的关键。 4. **tour_selection.m**:选择操作的实现,例如使用“锦标赛选择”。 5. **TestProblemBounds.m**:定义问题的边界条件,确保生成的个体满足问题域的约束。 6. **genetic_operator.m**:基因操作模块,包括交叉和变异操作的实现。 7. **Problem.m**:问题定义,包括目标函数和约束的声明。 8. **NSGA_II_Abril_Test.m**:可能是一个测试函数,用于运行NSGA II并分析结果。 9. **replacement.m**:替换策略的实现,决定哪些个体将进入下一代。 **重要知识点** 1. **非支配排序**:根据个体在所有目标上的表现将其分为多个非支配层,第一层是最优的,随后的层次依次次优。 2. **拥挤距离**:用于处理相同非支配级别的个体,距离越大表示个体在帕累托前沿的分布越稀疏。 3. **遗传操作**:包括交叉和变异,是算法产生新解的主要方式。 4. **多目标优化**:NSGA II解决的问题通常涉及多个相互冲突的目标,寻找一组均衡的解而非单一最优解。 5. **MATLAB编程技巧**:如何高效地使用MATLAB进行大规模计算和数据处理,以及绘制帕累托前沿。 6. **停止条件**:算法何时停止运行,通常基于迭代次数、性能指标或时间限制。 理解并熟练掌握这些知识点,你就能有效地利用MATLAB实现NSGA II算法,解决实际的多目标优化问题。在实际应用中,可能还需要考虑如何调整参数以优化算法性能,以及如何解析和解释结果。
2024-08-19 11:29:16 537KB NSGAII matlab
1
本书是作者十多年支付系统架构设计的经验总结,以实战为导向,带领读者深入浅出学习支付系统的架构设计与实现,涵盖基础概念、核心流程、核心子系统设计、核心技术专题等。这些知识点以实用为目标,可直接应用到日常研发设计中。 本书共18章,分为入门篇、核心子域设计篇、技术专题篇。入门篇(第1~10章)详细讲述支付系统涉及的基本概念,概要设计,核心流程。核心子域设计篇(第4~10章)深入地剖析支付系统各核心子域的架构设计精华。技术专题篇(第11~18章)介绍了一些支付系统领域内非常实用的最佳实践,这些技术还可以应用到非支付系统设计中。本书通过采用大量手绘风格的图示来讲解专业领域的概念和设计思路,让读者更容易阅读和理解,达到“一图胜千言”的效果。 本书既适合初学者入门,书中很多设计思路对于想进一步提升架构设计能力的资深研发人员也很有参考价值,并可作为培训机构相关专业的教学参考书。 根据给定的信息,《百图解码支付系统设计与实现》电子书是一本全面解析支付系统设计与实现的专业书籍。本书不仅包含了作者十多年来在支付系统架构设计方面的宝贵经验,还结合了丰富的实战案例,旨在帮助读者掌握支付系统的核心概念、架构设计及关键技术。 ### 一、支付系统基础概念 #### 1. 支付系统定义 支付系统是指用于完成交易过程中资金转移的一套技术方案和服务体系。它包括但不限于账户管理、支付网关、风险控制、结算清算等多个环节。 #### 2. 支付流程 支付流程一般包括用户发起支付请求、商户确认订单、支付平台处理交易、银行间清算与结算等步骤。每个环节都涉及复杂的技术细节和风险管理。 ### 二、支付系统概要设计 #### 1. 架构概述 支付系统的架构设计通常需要考虑高可用性、安全性、扩展性和性能等因素。常见的架构模式包括微服务架构、分布式架构等。 #### 2. 关键组件 - **账户管理模块**:负责用户账户信息的管理与维护。 - **支付网关**:连接商户与支付渠道的桥梁。 - **风控系统**:监控交易行为,预防欺诈风险。 - **结算清算系统**:处理交易完成后资金的流动。 ### 三、核心流程详解 #### 1. 订单创建 用户提交订单后,系统会验证订单信息的有效性并生成唯一的订单号。 #### 2. 支付请求 用户选择支付方式并提交支付请求,支付网关接收请求后进行初步校验。 #### 3. 交易处理 支付网关将交易信息转发至相应的支付渠道进行处理,如银行卡支付、第三方支付等。 #### 4. 风险评估 风险控制系统对接收到的交易数据进行分析,判断是否存在异常或潜在风险。 #### 5. 结算与清算 交易成功后,资金从付款方转移到收款方的过程中涉及的结算与清算操作。 ### 四、核心子系统设计 #### 1. 账户管理系统设计 - **用户认证**:确保账户信息的安全性。 - **资金管理**:支持充值、提现、转账等功能。 - **权限控制**:根据不同用户角色分配相应权限。 #### 2. 支付网关设计 - **接口标准化**:提供统一的API供外部调用。 - **支付渠道接入**:支持多种支付方式的接入。 - **错误处理机制**:优化用户体验,减少交易失败率。 #### 3. 风控系统设计 - **实时监控**:对交易行为进行实时监控。 - **规则引擎**:灵活配置风控策略。 - **机器学习模型**:利用AI技术提高风险识别准确性。 ### 五、技术专题篇 #### 1. 分布式事务处理 支付系统中往往涉及到跨系统的数据一致性问题,如何在分布式环境下保证事务的正确执行成为关键挑战之一。 #### 2. 安全性保障 - **加密技术**:如SSL/TLS协议保障数据传输安全。 - **身份验证**:多因素认证提高账户安全性。 - **反欺诈策略**:利用大数据分析技术识别可疑行为。 #### 3. 性能优化 - **缓存技术**:减轻数据库压力,提高访问速度。 - **负载均衡**:合理分配服务器资源,提升系统响应能力。 - **异步处理**:改善用户体验,提高系统吞吐量。 #### 4. 可扩展性设计 随着业务规模的增长,如何保持系统稳定高效运行成为重要课题。通过合理的模块化设计和技术选型可以有效解决这一问题。 《百图解码支付系统设计与实现》一书涵盖了支付系统从基础知识到高级技术的全方位内容,无论是对于初学者还是资深技术人员都有着极高的参考价值。通过阅读本书,读者不仅可以了解到支付系统的整体架构和技术细节,还能学到许多实际工作中需要用到的设计思路和解决方案。
2024-08-19 10:17:27 86.15MB 支付系统
1
在数字系统设计中,Verilog是一种广泛使用的硬件描述语言(HDL),用于描述数字电路的行为和结构。本设计主要探讨如何使用Verilog语言实现数据的发送与接收过程,这对于理解和构建通信系统至关重要。下面我们将详细讲解这个过程涉及的关键知识点。 1. **Verilog基础知识**:Verilog是IEEE 1364标准定义的一种语言,它允许设计者以文本形式描述数字逻辑系统,包括组合逻辑和时序逻辑。理解变量类型(如wire、reg)、运算符、结构体(如always块、if-else语句)等基础语法是开始Verilog设计的第一步。 2. **数据发送**:在Verilog中,数据发送通常涉及到串行或并行传输。并行传输可以同时传输多个比特,而串行传输则逐位进行。发送端可能需要包含一个数据寄存器、移位寄存器或者串行/并行转换模块,以将内部并行数据转化为适合传输的格式。 3. **时钟同步**:在数据传输过程中,时钟同步是关键。通常,发送端和接收端需要共享一个公共时钟或者通过时钟恢复技术实现异步通信。在Verilog中,可以使用`always @(posedge clk)`来指定在时钟边沿触发的事件。 4. **数据编码与解码**:为了确保数据的准确传输,可能需要对数据进行特定的编码,如曼彻斯特编码或差分曼彻斯特编码,以解决信号边缘检测问题。在接收端,这些编码需要被正确解码。 5. **握手协议**:在数据发送与接收之间,通常会采用握手协议(如三态协议、停止等待协议、滑动窗口协议等)来协调双方的活动。例如,发送端在数据准备好后发送“发送请求”,接收端确认收到后发送“接收确认”。 6. **错误检测与校验**:为了检测传输中的错误,可以添加奇偶校验位、CRC校验或其他更复杂的校验算法。Verilog可以方便地实现这些校验逻辑。 7. **仿真与综合**:设计完成后,需要使用仿真工具(如ModelSim、VCS等)进行功能验证,确保设计满足预期。通过综合工具(如Synopsys Design Compiler、Aldec Active-HDL等)将Verilog代码转化为门级网表,以便于FPGA或ASIC的实现。 8. **FPGA实现**:在实际应用中,Verilog设计往往会被综合到FPGA(现场可编程门阵列)上。理解FPGA的工作原理和资源限制,以及如何优化Verilog代码以适应不同FPGA架构,对于高效实现数据发送与接收至关重要。 9. **系统级设计**:在更复杂的应用中,Verilog设计可能需要与其他接口(如SPI、I2C、UART等)结合,以完成整个通信系统的构建。了解这些接口的协议和如何在Verilog中实现它们是系统集成的关键。 10. **测试平台**:为了确保设计的完整性和可靠性,需要创建测试平台来模拟各种输入条件和异常情况。这通常涉及到激励生成器、覆盖率分析和回归测试。 以上就是使用Verilog语言实现数据发送与接收设计的一些核心概念和技术。通过深入理解这些知识点,并结合实际项目经验,可以设计出高效、可靠的通信系统。在实际操作中,还需参考具体的项目需求和硬件约束进行具体设计。
2024-08-18 16:39:45 620KB verilog
1
适合刚接触qt与opengl的新人学习,下载可运行,无需配置
2024-08-18 15:53:37 15.78MB
1
摘 要: 介绍一种低失真、高精度可调( 频率和幅度) 正弦波发生器实现的方法, 对其原理、工艺及制作过程中出现的问题进行了详细的叙述, 特别是对稳幅、稳频、幅度调整和频率调节等功能进行了认真的分析论证, 说明了它可工作在比较恶劣环境中。   0 引 言   在许多电子系统中, 经常需要用到频率和幅度可调的正弦波信号作为基准信号或载波信号。通常正弦波信号主要通过模拟电路或DDS( direct digital synthe2sis) 等两种方式产生。相对于模拟电路, DDS 具有相位连续、频率分辨率高、转换速度快、信号稳定等诸多优点, 但是其不菲的价格使其在某些领域大材小用。在此介绍一种采用
2024-08-18 15:34:52 576KB
1
这个脚本是一个用于某短视频平台的自动化养号脚本,它的目的是通过模拟用户的常规操作来提高账号的活跃度和互动率。以下是脚本的主要功能和组成部分的说明: 准备:Python环境。安装uiautomator2库 需要ADB工具,Android设备。 脚本功能: 自动观看视频:脚本模拟用户观看视频的行为,根据视频内容随机决定观看时长。 随机点赞:根据设定的概率和视频内容决定是否点赞。 关注其他用户:同样基于随机概率和视频内容来决定是否关注视频发布者。 发表评论:从预设的评论库中随机选择评论并发表。 核心逻辑: 使用uiautomator2连接Android,并进行元素定位和操作。 通过分析视频标题和描述中的关键词来决定互动。 使用随机数来模拟用户行为的不确定性。 通过ADB命令模拟输入法切换和发送广播,以实现评论的输入和发送。 运行方式: 确保所有环境和依赖项已正确设置。 修改脚本中的设备名称以匹配实际情况。 运行脚本。 注意: 过度自动化可能违反视频App的服务条款,应谨慎使用。 脚本的行为应符合视频App平台的规则和指南。 脚本的稳定性和效果可能受到App版本更新和设备差异的影响。
2024-08-17 18:31:35 8KB android python
1
PropertyGrid控件是.NET Framework中用于显示和编辑对象属性的常用控件,它在Windows Forms应用程序中被广泛使用。在C#中,PropertyGrid提供了一种直观的方式,以网格的形式展示对象的属性,并允许用户进行交互式编辑。VS2008(Visual Studio 2008)是微软开发的一款集成开发环境,支持C#编程,为开发人员提供了丰富的工具和功能。 标题提到的"一个基于C# + VS2008实现的PropertyGrid高级扩展控件源码",意味着这是一份自定义的PropertyGrid控件,可能包含了对默认控件功能的增强或定制,以满足特定的开发需求。开发者可能通过以下几种方式来扩展PropertyGrid: 1. 自定义属性编辑器:默认的PropertyGrid控件使用内置的编辑器来显示和编辑属性值,如TextBox、ComboBox等。通过实现`UITypeEditor`接口,可以创建自定义编辑器,例如日期选择器、颜色选择器等,以提供更丰富的用户体验。 2. 特性(Attributes):PropertyGrid控件通过特性来控制属性的显示和行为。例如,`DisplayNameAttribute`用于设置属性的显示名称,`BrowsableAttribute`控制属性是否可见,`ReadOnlyAttribute`使属性只读,`CategoryAttribute`将属性分组等。 3. 自定义类型转换器:通过实现`TypeConverter`接口,可以自定义属性值的转换逻辑,比如在字符串和枚举类型之间转换。 4. 添加帮助信息:使用`DescriptionAttribute`可以为属性添加描述性文本,当鼠标悬停在属性上时,这些信息会在PropertyGrid的下方显示。 5. 高级筛选和排序:可能实现了自定义逻辑,让用户可以根据特定条件筛选或排序显示的属性。 6. 属性分类:可能增加了自定义的分类机制,使属性组织更加有序。 在提供的压缩包中,"Readme.txt"通常包含有关项目的信息,如使用说明、安装步骤、注意事项等。"Class"文件夹很可能包含了扩展控件的源代码类,这些类实现了上述扩展功能。而"Test"文件夹可能包含了一些测试用例,用于验证控件的功能和性能。 在深入研究这个源码之前,建议首先阅读Readme文件以了解项目背景和使用方法。然后,通过查看和分析Class中的代码,可以学习到如何利用C#和.NET Framework的特性来扩展PropertyGrid控件。测试用例则可以帮助我们理解控件在不同情况下的表现,以及如何正确地使用这些扩展功能。 这份源码是一个很好的学习资源,可以帮助C#开发者提升对PropertyGrid控件的理解,以及如何根据需求定制和扩展控件功能。
2024-08-17 13:36:38 786KB PropertyGrid
1