以8086作为处理器,以8253控制扬声器,并以8255接8个开关K1~K8作为电子琴的按键输入,并利用8253产生一定频率与持续时间的脉冲信号经驱动电路放大后,根据按键K2~K8改变脉冲频率和脉冲持续时间从而使扬声器发出7种不同的音调,从而实现了一个模拟电子琴。该电子琴能实现基本的音调发音,报告的最后对整个设计过程进行了总结
2019-12-21 22:26:00 381KB 模拟 电子琴
1
基于单片机AT89C52的简易电子琴设计报告,有详细的说明和汇编源代码
2019-12-21 22:14:33 480KB 汇编源代码
1
基于fpga的电子琴设计vhdl描述 可按键发音 也可播放音乐
2019-12-21 22:14:11 568KB 基于fpga的电子琴设计vhdl描述
1
微机接口课程设计实验报告 模板 实际应用 免费下载
2019-12-21 22:04:12 2.86MB 微机接口 课程设计 电子琴
1
课程设计:运用汇编语言简易电子琴设计,包含源码和设计报告,这是本人原创的。使用键盘和主板上的蜂鸣器来模拟控制对应音阶的输出。
2019-12-21 22:03:07 135KB 电子琴 设计 汇编
1
EDA电子琴VHDL设计 EDA电子琴VHDL设计 EDA电子琴VHDL设计 EDA电子琴VHDL设计 EDA电子琴VHDL设计 EDA电子琴VHDL设计
2019-12-21 21:53:21 130KB 电子琴
1
基于fpga的电子琴设计,包含各个模块 1.通过本次设计,我们学到了一些东西: (1)加深了对VHDL语言的运用的规则的认识和使用,同时编程能力相对有所提高。 (2)对QUARTUS II软件的操作更加熟练,对EDA技术的应用有了更加深刻的了解。掌握了利用EDA工具的设计平台对硬件产品的开发的方法。 (3)对集成电路方面的知识有了更深一层的认识。通过搜集资料和本次设计制作的完成,学会了如何搜集整理资料和应用。为未来的研究学习和工作奠定了基础。 (4)我们对VGA显示及PS2通信原理,电子琴原理有了进一步理解,也会写曲谱了,完成了可弹可录可自动播放功能 2.本次设计的完成,由于时间上的限制和个人能力的不足,我们的作品还是有些不足之处: (1)PS2部分不明白为什么放开后按键仍响音乐,红色字体应该如何解释 (2)两首预置音乐的音调不是那么悦耳,特别是《美丽的神话》中部分曲谱的节奏出现点瑕疵 (3)对频率分频不是十分理解 (4)对控制RAM地址的计数器的频率为何比RAM的频率小那么多不是很理解 (5)PS2,VGA掌握的不够,对电子琴部分原理还是有点不大明白,如节拍控制,为何频率如此等
2019-12-21 20:56:18 1.27MB 000000000000
1
含有protues图和程序(全注释,连变量都注释) 要求:1、设置三种音阶:低音、中音、高音控制按键; 2、设计1、2、3、4、5、6、7音(哆来咪法搜拉稀); 3、能弹奏一首简单的儿歌。
1
用矩阵键盘代表琴键,至少能弹出8个音符,分别是:音符 1,2,3,4,5,6,7, 。 键按下的时间长短表征节拍的长短。 用蜂鸣器发出声音。 点阵实时动态显示音符高低(自由显示)。
2019-12-21 20:44:38 2MB 简易电子琴 单片机
1
51单片机 简易电子琴设计 完整的程序代码、仿真图和设计报告文档
2019-12-21 20:00:41 205KB 单片机 电子琴
1