AD9850+AD9851模块原理图、pcb源文件 DDS信号发生器 正弦波方波可调占空比 送STM32程序
2024-05-23 14:20:39 705KB
1
STC8G1K08A,三路PWM输出,占空比渐变输出
2024-05-20 09:22:59 177KB
1
此程序基于STM32CubeMX和Keil开发,同步《STM32初学入门笔记(2):STM32CubeMX配置STM32输出可调PWM方波》,具体请参见博客:http://t.csdn.cn/YjNY2
2024-04-08 16:25:45 5.92MB stm32
1
STM32的PWM波动态调频和调占空比的原理: 1、调节占空比:只要根据设置的TIMx_ARR寄存器的值和所需要的占空比设置TIMx_CCRx寄存器的值即可。例如:TIMx_ARR的值为100,需要占空比为50%,则设置TIMx_CCRx的值为50即可。 2、调频: ①更改预分频器的值,改变计数器的频率 1.频率: PWM的频率 = 时钟频率 / (自动重装载值 + 1) * (预分频值 + 1) TIM1最大时钟频率为72MHZ = 72 000 000 HZ 通过修改Psc和Arr可以输出不同频率的PWM输出 2.占空比 使用函数 TIM_SetComparex(TIM_TypeDef* TIMx, uint16_t Comparex) Compare1(CH1)是用于与TIMx比较的数,相当于用TIMx的一个周期的时间减去这个Compare1,使得TIMx的周期从后面开始的Compare1的时间为TIMx的前部分时间的反向。即若前部分时间为高电平,则Compare1段所在时间为低电平。若前部分时间为低电平,则Compare1段所在时间为高电平。
2024-01-21 04:26:15 17.49MB stm32 PWM波形 串口 频率占空比
1
verilog实现占空比50%的3分频 通过上升沿和下降沿分别触发模3 的counter 再通过组合逻辑实现占空比1:1
2023-12-04 21:58:47 664B verilog
1
STM32F103C8T6 GD32F103C8T6 使用高级定时器 TMI1 捕获PWM 占空比. 实测稳定精确!
2023-12-01 10:20:54 6KB stm32 gd32
1
模型将有助于使用数学建模获得升压转换器的输出电压
2023-10-07 14:58:54 23KB matlab
1
占空比可调的多谐振荡器实验电路multisim源文件,multisim10及以上版本可以正常打开仿真,是教材上的电路,可以直接仿真,方便大家学习。
2023-04-15 14:15:07 130KB multisim
请问我做了一个小程序通过占空比调节,为什么占空比变化的时侯,输出电压没有变化呢??如果有错的话,应该怎么改呢??
2023-04-13 22:50:22 2KB 占空比
1
VHDL分频器_占空比50%_将FPGA板上的50Mhz的信号分频为1hz时钟信号
2023-04-12 16:55:13 989KB fpga
1